电风扇控制逻辑电路课程设计

上传人:m**** 文档编号:431364637 上传时间:2023-07-04 格式:DOCX 页数:13 大小:538.48KB
返回 下载 相关 举报
电风扇控制逻辑电路课程设计_第1页
第1页 / 共13页
电风扇控制逻辑电路课程设计_第2页
第2页 / 共13页
电风扇控制逻辑电路课程设计_第3页
第3页 / 共13页
电风扇控制逻辑电路课程设计_第4页
第4页 / 共13页
电风扇控制逻辑电路课程设计_第5页
第5页 / 共13页
点击查看更多>>
资源描述

《电风扇控制逻辑电路课程设计》由会员分享,可在线阅读,更多相关《电风扇控制逻辑电路课程设计(13页珍藏版)》请在金锄头文库上搜索。

1、目录一、设计目的4二、设计要求4三、总体设计原理与内容51、设计的总体原理 .52、设计内容. 5四、EDA 设计及仿真51、电风扇控制逻辑电路设计源程序52、电风扇控制逻辑电路设计仿真结果及数据分析8五、硬件实现91、引脚锁定图92、硬件实现照片9六、设计总结121、设计过程中遇到的问题及解决方法122、设计体会123、对设计的建议13七、设计生成的电路图13参考文献.13电风扇控制逻辑电路设计一、设计目的通过对FPGA (现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD (可编 程逻辑器件)的设计过程、设计要求、设计内容、设计方法,能根据用户的要求及工艺需 要进行电子芯片设计并制定

2、有关技术文件。培养学生综合运用已学知识解决实际工程技术 问题的能力、查阅图书资料和各种工具书的能力、工程绘图能力、撰写技术报告和编制技 术资料的能力,受到一次电子设计自动化方面的基本训练。培养学生利用 EDA 技术知识,解决电子设计自动化中常见实际问题的能力,使学生 积累实际 EDA 编程。通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习 的理论知识应用于实践,通过本课程设计的实践使学生具有一定的实践操作能力。二、设计要求(1).以EDA技术的基本理论为指导,将设计实验分为基本功能电路和较复杂的电子 系统两个层次,要求利用数字电路或者EDA方法去设计并完成特定功能的电子电路的仿真、

3、软硬件调试;(2)熟悉掌握常用仿真开发软件,比如:Quartus II或Xilinx ISE的使用方法。(3).能熟练运用上述开发软件设计并仿真电路并下载到FPGA中进行调试;(4)学会用EDA技术实现数字电子器件组成复杂系统的方法;学习电子系统电路的 安装调试技术。(5)用EDA技术实现电风扇控制器的控制功能,具体要求如下:1、用三个按键来实现。风速”、“风种”、“停止”的不同选择。2、用六个发光二报管分别表示“风速”(强、中、弱)、“风种”(睡眠、自然、正 常)的三种状态。3、电扇在停转状态时,只有按“风速键才有效按其余两键不响应。电风扇启动 后, 再按动“风速”键可循环选择弱、中或强三种

4、状态中的任一种状态,“风速”的弱、 中、强对应电扇的转动由慢到快;按动“风种”键可循环选择正常、自然或睡眠三种状态 的某种状态。 “风种”在正常位置是指电扇连续运转;“自然”位置,是表示电扇模拟产生自然风,即运转 4 秒,间断4 秒的方式;在“睡眠”位置,是产生轻柔的微风,电 扇运转 8秒,间断 8秒的方式。电扇运转情况用第七个发光二极管的亮与不亮表示。4、电风扇在任意状态下。按“停止”键电扇停止工作,所有指示灯熄灭。三、总体设计原理与内容1、设计的总体原理首先用脉冲信号 feng_su 的上升沿表示按键 2,其控制风速,同时它还做电扇的启动 键。当电扇处于关闭状态时,按一下按键2 (即给一个

5、上升脉冲feng_su),电扇即处于待 机状态,同时电扇风速处于“弱”状态(即LED8亮),若再按一次按键1,则风速处于“中” 状态(即LED7亮),再按一次按键1,风速处于“强”状态(即LED6亮),依次循环。用脉冲信号 feng_zhong 的上升沿表示按键 1,其控制风种,当电扇处于待机状态时, 按键 1 处于失效状态,当启动时,风种的初态为正常,电扇持续运转(即 LED3 常亮),连 续按按键 1,则风种依次处于“正常,自然,睡眠”状态,电扇依次持续运转,转四秒停 四秒(即 LED2 亮四秒不亮四秒),转八秒停八秒(即 LED1 亮八秒不亮八秒)。用脉冲信号 ting_zhi 的上升沿

6、表示按键 3,它做电扇的关闭键,当电扇处于运转状态 时,按一下按键3 (即给一个上升脉冲RS),电扇即停止运转(即所有发光二极管不亮)。2、设计内容风种选择关闭风速启动电扇运转 停止运转图 3-2-1 设计总体框图如图3-2-1,在关闭状态给一个触发脉冲feng_su (即按一下键2),即启动电风扇, 风速为默认“弱”状态,风种默认为正常状态,风扇即开始运转。逐次按键 2,则可实现 风速转换;逐次按键 1,则可实现风种转换。四、EDA设计及仿真1、电风扇控制逻辑电路设计源程序library ieee;use ieee.std_logic_1164.all;entity feng_shan123

7、 isport(ting_zhi,feng_su,feng_zhong,clk:in std_logic; ruo,zhong,qiang,zheng_chang,zi_ran,shui_mian:out std_logic);end feng_shan123;architecture one of feng_shan123 istype state123 is(state0,state1,state2,state3);type state567 is(s0,s1,s2);signal pr_state0,nx_state0:state123;signal pr_state1,nx_state

8、1:state567;signal zi_ran1:std_logic:=0;signal shui_mian1:std_logic:=0;-自然风的控制信号,高电平有效signal kong_zhi:std_logic;-风种档位有效控制信号,高电平有效signal a,b:std_logic:=0;-控制自然风和睡眠风的输出signal zi_ran3:std_logic:=1;-自然风档位时,计时信号signal shui_mian3:std_logic:=1;-睡眠档位时,计时信号beginprocess(ting_zhi,feng_su)-停止键有效时,系统停止beginif tin

9、g_zhi=1 then pr_state0=state0; -信号上升沿来时,现态等于次态elsif(feng_suevent and feng_su=1) then pr_state0=nx_state0;end if;end process;process(ting_zhi,feng_zhong)beginif ting_zhi=1 then pr_state1=s0; -信号上升沿来时,现态等于次态elsif(feng_zhongevent and feng_zhong=1) then pr_state1ruo=0;zhong=0;qiang=0;nx_state0=state1;ko

10、ng_zhiruo=1;zhong=0;qiang=0; nx_state0=state2;kong_zhiruo=0;zhong=1;qiang=0;nx_state0ruo=0;zhong=0;qiang=1;nx_state0if(kong_zhi=0)then zheng_chang=0;zi_ran1=0;shui_mian1=0;nx_state1=s0;else zheng_chang=1;zi_ran1=0;shui_mian1=0;nx_state1=s1; end if;b=0; azheng_chang=0;zi_ran1=1;shui_mian1=0; nx_state

11、1=s2;a=1;bzheng_chang=0;zi_ran1=0;shui_mian1=1; nx_state1=s0;a=0;b=1;end case;end process;process(zi_ran1,clk,a)-自然风档位,等两四秒,灭四秒variable zi_ran2:integer range 0 to 16;begin-5if zi_ran1=1 thenif(clkevent and clk=1) thenif zi_ran2=16 then zi_ran2:=0;zi_ran3=not zi_ran3;else zi_ran2:=zi_ran2+1;end if;en

12、d if;else zi_ran3=1;end if;if a=1 then zi_ran=zi_ran3;else zi_ran=0;zi_ran2:=0;end if; end process;process(shui_mian1,clk,b)-睡眠风档位,亮 8 秒,灭 8 秒variable shui_mian2:integer range 0 to 32;beginif shui_mian1=1 thenif(clkevent and clk=1) thenif shui_mian2=32 then shui_mian2:=0;shui_mian3=not shui_mian3;el

13、se shui_mian2:=shui_mian2+1;end if;end if;else shui_mian3=1;end if;if b=1 then shui_mian=shui_mian3;else shui_mian=0;shui_mian2:=0;end if; end process;end one;2、电风扇控制逻辑电路设计仿真结果及数据分析/0?2/5_r6如图4-2-1,首先用脉冲信号feng_su的上升沿表示按键2,其控制风速,同时它还 做电扇的启动键。当电扇处于关闭状态时,按一下按键1 (即给一个上升脉冲feng_su), 电扇即处于待机状态,同时电扇风速处于“弱”状态(即LED8亮),若再按一次按键1, 则风速处于“中”状态(即LED7亮),再按一次按键1,风速处于“强”状态(即LED6亮), 依次循环。用脉冲信号feng_zhong的上升沿表示按键2,其控制风种,当处于启动状态时,风种 的出态为正常状态(即LED3亮),连续按按键2,则风种依次处于“正常,自然,睡眠” 状态,电扇依次持续运转,转四秒停四秒(即LED2亮四秒不亮四秒),转八秒停八秒(即 LED1亮八秒不亮八秒)。用脉冲信ting_zhi的上升沿表示按键3,它做电扇的关闭键,当电扇处于运转状态时,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 建筑资料

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号