EDA选择题含答案.doc

上传人:公**** 文档编号:411314111 上传时间:2023-02-09 格式:DOC 页数:13 大小:89.52KB
返回 下载 相关 举报
EDA选择题含答案.doc_第1页
第1页 / 共13页
EDA选择题含答案.doc_第2页
第2页 / 共13页
EDA选择题含答案.doc_第3页
第3页 / 共13页
EDA选择题含答案.doc_第4页
第4页 / 共13页
EDA选择题含答案.doc_第5页
第5页 / 共13页
点击查看更多>>
资源描述

《EDA选择题含答案.doc》由会员分享,可在线阅读,更多相关《EDA选择题含答案.doc(13页珍藏版)》请在金锄头文库上搜索。

1、一、选择题:(20分)1 大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是:_D_A. CPLD是基于查找表结构的可编程逻辑器件B. CPLD即是现场可编程逻辑器件的英文简称C. 早期的CPLD是从FPGA的结构扩展而来D. 在Xilinx公司生产的器件中,XC9500系列属CPLD结构2 基于VHDL设计的仿真包括有门级时序仿真、行为仿真、功能仿真和前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是:_DAB.CD3 IP核在EDA技术和开发中具有十分重要的地位,IP分软IP、固IP、硬IP;下列所描述的IP核中,对于固IP的正确描述为:

2、_DA提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路B提供设计的最总产品模型库C以可执行文件的形式提交用户,完成了综合的功能块D都不是4 下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的:_BA原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计B原理图输入设计方法一般是一种自底向上的设计方法C原理图输入设计方法无法对电路进行功能描述D原理图输入设计方法不适合进行层次化设计5 在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是:_DAPROCESS为一无限循环语句B敏感信号发生更新时启动进程,执行完成

3、后,等待下一次进程启动C当前进程中声明的变量不可用于其他进程D进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成6 对于信号和变量的说法,哪一个是不正确的:_AA信号用于作为进程中局部数据存储单元B变量的赋值是立即完成的C信号在整个结构体内的任何地方都能适用D变量和信号的赋值符号不一样7 下列状态机的状态编码,_方式有“输出速度快、难以有效控制非法状态出现”这个特点。AA状态位直接输出型编码B一位热码编码C顺序编码D格雷编码8 VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:_DAIEEE库BVITAL库CSTD库DWORK工作库9 下列4个VHDL标识符中正确

4、的是:_dA10#128#B16#E#E1C74HC124DX_1610下列语句中,不属于并行语句的是:_BA进程语句BCASE语句C元件例化语句DWHENELSE语句写出下列缩写的中文(或者英文)含义:1. ASIC专用集成电路2. FPGA现场可编程门阵列3. IP知识产权核(软件包)4. JTAG联合测试行动小组 HDL硬件描述语言1 基于EDA软件的FPGA / CPLD设计流程,以下流程中哪个是正确的:_C_A. 原理图/HDL文本输入适配综合时序仿真编程下载功能仿真硬件测试B. 原理图/HDL文本输入功能仿真综合时序仿真编程下载适配硬件测试C. 原理图/HDL文本输入功能仿真综合适

5、配时序仿真编程下载硬件测试D. 原理图/HDL文本输入适配时序仿真编程下载功能仿真综合硬件测试2 综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,_A_是错误的。A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程,并且该过程与器件硬件结构无关B. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束C. 综合可以理解为将软件描述与给定的硬件结构用电路网表文件表示的映射过程,映射结果不唯一D. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件3 FPGA的可编程是

6、主要基于什么结构:_A_A. 查找表(LUT)B. ROM可编程C. PAL可编程D. 与或阵列可编程4 IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为:_D_A. 胖IPB. 瘦IPC. 硬IPD. 都不是5 串行化设计是一种优化方式,下列哪一项对串行化设计描述正确:_C_A. 面积优化方法,同时有速度优化效果B. 速度优化方法,不会有面积优化效果C. 面积优化方法,不会有速度优化效果D. 速度优化方法,可能会有面积优化效果6 在VHDL语言中,下列对时钟边沿检测描述中,错误的是:_B_A. if clkeve

7、nt and clk = 1 thenB. if clkstable and not clk = 1 thenC. if rising_edge(clk) thenD. if not clkstable and clk = 1 then7 状态机编码方式中,哪种编码速度较快而且输出没有毛刺?_C_A. 一位热码编码B. 格雷码编码C. 状态位直接输出型编码D. 都不是8 不完整的IF语句,其综合结果可实现:_D_A. 三态控制电路B. 条件相或的逻辑电路C. 双向控制电路D. 时序逻辑电路9 以下对于进程PROCESS的说法,正确的是:_C_A. 进程之间可以通过变量进行通信B. 进程内部由一

8、组并行语句来描述进程功能C. 进程语句本身是并行语句D. 一个进程可以同时描述多个时钟信号的同步时序逻辑10关于VHDL中的数字,请找出以下数字中数值最小的一个:_C_A. 2#1111_1110#B. 8#276#C. 10#170#D. 16#E#E1二、EDA名词解释,写出下列缩写的中文(或者英文)含义:(10分)1SOPC :可编程单片系统2PCB :3RTL : 寄存器传输级4LPM 参数可设置模块库5CPLD6FSM 有限状态机(Finite State Machine)JTAG指的是什么?大致有什么用途?10 下列是EDA技术应用时涉及的步骤:A. 原理图/HDL文本输入; B.

9、 适配; C. 时序仿真; D. 编程下载; E. 硬件测试; F. 综合请选择合适的项构成基于EDA软件的FPGA / CPLD设计流程:A _F_ _B_ _C_ D _E_11 PLD的可编程主要基于A. LUT结构 或者 B. 乘积项结构:请指出下列两种可编程逻辑基于的可编程结构:FPGA 基于 _A_CPLD 基于 _B_12 在状态机的具体实现时,往往需要针对具体的器件类型来选择合适的状态机编码。对于A. FPGA B. CPLD 两类器件:一位热码 状态机编码方式 适合于 _A_ 器件;顺序编码 状态机编码方式 适合于 _B_ 器件;13 下列优化方法中那两种是速度优化方法:_B

10、_、_D_A. 资源共享 B. 流水线 C. 串行化 D. 关键路径优化14 综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中,_D_是错误的。A. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;B. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;C. 综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的。 D. 综合是纯软件的转换过程,与器件硬件结构无关;15 嵌套的IF语句,其综合结果可实现_D_。A. 条件相与的逻

11、辑B. 条件相或的逻辑C. 条件相异或的逻辑D. 三态控制电路16 在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。DA. idata = “00001111”;B. idata = b”0000_1111”;C. idata = X”AB”;D. idata = B”21”;17 在VHDL语言中,下列对时钟边沿检测描述中,错误的是_D_。A. if clkevent and clk = 1 thenB. if falling_edge(clk) then C. if clkevent and clk = 0 thenD.

12、if clkstable and not clk = 1 then18 请指出Altera Cyclone系列中的EP1C6Q240C8这个器件是属于_C_ A. ROM B. CPLD C. FPGA D.GAL二、EDA名词解释,(10分)写出下列缩写的中文(或者英文)含义:5. ASIC专用集成电路6. FPGA现场可编程门阵列7. CPLD复杂可编程逻辑器件8. EDA电子设计自动化9. IP知识产权核10. SOC单芯片系统 简要解释JTAG,指出JTAG的用途JTAG,joint test action group,联合测试行动小组的简称,又意指其提出的一种硬件测试标准,常用于器件

13、测试、编程下载和配置等操作。19 下列是EDA技术应用时涉及的步骤:A. 原理图/HDL文本输入; B. 适配; C. 时序仿真; D. 编程下载; E. 硬件测试; F. 综合请选择合适的项构成基于EDA软件的FPGA / CPLD设计流程:A _ _ _ _ E20 PLD的可编程主要基于A. LUT结构 或者 B. 乘积项结构:请指出下列两种可编程逻辑基于的可编程结构:FPGA 基于 _CPLD 基于 _21 在状态机的具体实现时,往往需要针对具体的器件类型来选择合适的状态机编码。对于A. FPGA B. CPLD 两类器件:一位热码 状态机编码方式 适合于 _ 器件;顺序编码 状态机编码方式 适合于 _ 器件;22 下列优化方法中那两种是速度优化方法:_、_A. 资源共享 B. 流水线 C. 串行化 D. 关键路径优化单项选择题: 23 综合是EDA设计流程的关键步骤,综合就是

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 习题/试题

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号