基于FPGA的FIR滤波器设计

上传人:cn****1 文档编号:402075408 上传时间:2023-12-30 格式:DOC 页数:29 大小:1.32MB
返回 下载 相关 举报
基于FPGA的FIR滤波器设计_第1页
第1页 / 共29页
基于FPGA的FIR滤波器设计_第2页
第2页 / 共29页
基于FPGA的FIR滤波器设计_第3页
第3页 / 共29页
基于FPGA的FIR滤波器设计_第4页
第4页 / 共29页
基于FPGA的FIR滤波器设计_第5页
第5页 / 共29页
点击查看更多>>
资源描述

《基于FPGA的FIR滤波器设计》由会员分享,可在线阅读,更多相关《基于FPGA的FIR滤波器设计(29页珍藏版)》请在金锄头文库上搜索。

1、基于FPGA的FIR滤波器设计一 、设计目的为了帮助学生深入理解和消化基本理论、进一步提高综合应用能力并且锻炼独立解决问题的能力,我们将数字信号处理、集成电路原理与应用和FPGA系统设计与应用几门课程融合在一起开设的FPGA综合实验课程设计。本次完成的是利用FPGA来完成FIR滤波器的设计、程序设计和实验调试任务。二、设计要求(1)基本要求利用所学知识,采用VHDL语言完成FIR滤波器的设计仿真。要求用VHDL编程设计底层文件,顶层文件可任意(可用原理图方式或文本方式);完成仿真文件(包括MATLAB和QUARTUSII两种仿真)并对其结果比较。具体设计指标如下:(1)采样频率;(2)截止频率

2、;(3)输入序列为10位(最高位为符号位);(4)窗口类型为kaiser窗,=0.5 ;(5)滤波器长度为16 ;(6)输出结果保留10位。(2)提高部分 根据所学知识,设计出一个具有频率控制功能DDS,要求输出频率分别为10KHz和100KHz,将输出的两路数字信号进行叠加,并通过所设计的FIR滤波器进行滤波,将滤波输出的数字信号通过D/A转换电路输出波形,并用示波器观察输出波形,并完成测试结果分析。结构框图如图1-1所示。 图2-1 整体结构框图三、设计原理3.1 FIR滤波器由线性系统理论可知,在某种适度条件下,输入到线性系统的一个冲击完全可以表征系统。当我们处理有限的离散数据时,线形系

3、统的响应(包括对冲击的响应)也是有限的。若线性系统仅是一个空间滤波器,则通过简单地观察它对冲击的响应,我们就可以完全确定该滤波器。通过这种方式确定的滤波器称为有限冲击响应(FIR)滤波器。3.2 线性FIR滤波器原理FIR滤波器响应(简称FIR)系统的单位脉冲响应为有限长序列,系统函数在有限z平面上不存在极点,其运算结构中不存在反馈支路,即没有环路。如果的长度为N,则它的系统函数和差分方程一般具有如下形式:根据差分方程直接画出FIR滤波器的结构,称为直接型结构。如图3-1所示: 图3-1 FIR滤波器直接结构FIR滤波器的特点:单位脉冲响应序列为有限个;可快速实现;可得到线性相位;滤波器阶数较

4、高。对线性时不变系统保持线性相位的条件是:单位脉冲响应为偶对称或奇对称。即:为设计线性滤波器,应保证h(n)为对称的。 若N为偶数,其线性相位FIR滤波器的对称结构流图如图3-2所示:图3-2 若N为偶数线性相位FIR滤波器的对称结构流图图中:“ +1 ” 对应偶对称情况,“ -1 ” 对应奇对称情况。当n为奇数时,支路断开。 若N为奇数,其线性相位FIR滤波器的对称结构流图如图3-3:图3-3 N为奇数线性相位FIR滤波器的对称结构流图其中y(n)和x(n)分别是输出和输入序列。有限冲激响应滤波器的一种直接型实现,可由式(2)生成,M=5的情况如图3-4(a)所示。其转置,如图3-4(b)所

5、示,是第二个直接型结构。通常一个长度为M的有限冲激响应滤波器由M个系数描述,并且需要M个乘法器和(M-1)个双输入加法器来实现。图3-4(a)直接型一图3-4(b)直接型二长度为M的线性相位有限冲激响应滤波器由对称的冲激响应h(n)=h(M-1-n)或反对称的冲激响应h(n)=-h(M-1-n)描述。利用线性相位有限冲激响应滤波器的对称(或反对称)性质,可以将传输函数的直接型实现所需的乘法器总量减少一半。例如,图3-5显示了一个具有对称冲击响应的、长度为7的有限冲激响应传输函数的实现。图3- 5 线性相位有限冲激响应结构四、设计方案因为N=16为偶数,根据老师上课时所讲,可以按照上面第一个原理

6、图设计滤波器,如图4-1所示。图4-1若N为偶数线性相位FIR滤波器的对称结构流图本设计取为偶对称的情况,则图中:应取“ +1 ”。由上图可分析得到,要完成滤波器的设计,需要设计的底层文件包括延时单元、加法电路单元、乘以负一单元、乘法器单元及截取10位数单元。由各单元VHDL编程后,生成相应的符号文件。最后连接成顶层原理图。整个电路的原理图设置方案如图4-2所示:图4- 2 滤波器整体设计方案原理图五、设计内容及结果分析首先使用matlab计算出符合设计要求的滤波器冲激响应系数。后将整个电路规划为语言编辑和原理图编辑两个单元,其中语言编辑部分负责编辑整个滤波器电路中所需用的单元器件,包括寄存器

7、、加法器、减法器以及乘法器几个单元器件;最后将所有的器件连接成顶层原理图。在进行编译及仿真。5.1基于matlab的FIR滤波器系数计算在matlab命令编辑窗口输入Fdatool指令,再点回车即可打开Filter Design & Analysis Tool窗口,在该工具的帮助下,我们就可以完成f.i.r.滤波器系数的计算。Fdatool界面总共分两大部分,一部分是design filter,在界面的下半部分,用来设置滤波器的设计参数,另一部分则是特性区,在界面的上半部分,用来显示滤波器的各种特性。design filter部分主要分为:Response Type(响应类型)选项,包括Low

8、pass(低通)、Highpass(高通)、Bandpass(带通)、Bandstop(带阻)和特殊的滤波器。根据本次作业要求,在该选项中选择Lowpass选项。Design Method(设计方法)选项,包括IIR滤波器的Butterworth(巴特沃思)法、Chebyshev Type i(切比雪夫i型)法、 Chebyshev Type ii(切比雪夫ii型) 法、Elliptic(椭圆滤波器)法等和Window(窗函数)法等多种方法。结合本次课设要求,选择FIR滤波器的窗函数法进行设计。选定窗函数法后,会在右侧出现Options区域,进行窗函数法相关参量的设置,根据作业要求选择Kais

9、er窗并设置Beta为:0.5。Filter Order(滤波器阶数)选项,定义滤波器的阶数,包括Specify order(指定阶数)和Minimum order(最小阶数)。在Specify order中填入所要设计的滤波器的阶数(n阶滤波器,specify ordern-1),如果选择Minimum order则matlab根据所选择的滤波器类型自动使用最小阶数。本次作业要求设计16阶滤波器,所以选定Specify order并填入15。Frenquency Specifications选项,可以详细定义频带的各参数,包括采样频率Fs和频带的截止频率。它的具体选项由Response Ty

10、pe选项和Design Metho选项决定。我们要求的 Lowpass(低通)滤波器只需要定义Fs=80 KHz、Fc=10 KHz。本次课设中的参数全部设定后的结果如图5-1所示。图5-1 参数全部设定后图参数设定完毕,单击工具窗口下方的Design Filter按钮,就开始进行相关参数计算。在计算结果中可以看到该滤波器的一些相关曲线,如幅频响应(如图5-2)、相频响应(如图5-3)、冲激响应(如图5-4)等。图形如下:图5-2 幅频响应曲线图5-3 相频响应曲线图5-4 冲激响应计算的结果可通过File下拉菜单中的Export命令取出,点击Export打开Export对话框(如图5-5),

11、点击Export按钮可将滤波器系数数据存放到当前工作空间,并以Num命名。图5-5 冲激系数输出对话框保存并关闭滤波器设计分析工具回到matlab主窗口,在命令编辑区输入Num可得到工具的计算结果(如图5-6)。图 5-6 输出在matlab的冲激系数对FIR滤波器的系数进行调整,做整数化操作。可得到滤波器整数化的系数为-31 -88 -106 -54 70 239 401 499 499 401 239 70 -54 -106 -88 -31,如图 5-7所示:图5-7 整数化后的冲激系数5.2 单元器件的编辑及仿真5.2.1、寄存器模块在本次课设中延迟单元可用寄存器来替代,寄存器用于寄存一

12、组二值代码,只要求它们具有置1、置0的功能即可。在本设计中使用带异步复位rst端的D触发器,当rst=1时,输出信号q=0,当rst=0且上升沿脉冲到达时q=d,即延迟了一个在周期。其程序代码如下:LIBRARY ieee; USE ieee.std_logic_1164.all;ENTITY jicunqi ISPORT (rst,clk: IN STD_LOGIC; d:IN STD_LOGIC_VECTOR (9 DOWNTO 0); q:OUT STD_LOGIC_VECTOR (9 DOWNTO 0);END jicunqi;ARCHITECTURE dff16 OF jicunqi

13、 ISBEGIN PROCESS (rst,clk) BEGIN IF(rst=1)THEN q0); ELSIF(clkEVENT AND clk=1)THEN q=d; END IF; END PROCESS;END dff16;程序编译后就可进行仿真,仿真结果如图5-8所示:图5-8 寄存器模块仿真结果由上图可知,与预期相符,即设计正确,再将其生成为一个元件以便后来调用,其生成图如图5-9 所示。图 5-9 寄存器元件图5.2.2、加法器模块即实现两个有符号数的相加运算。即将输入的两数,在时钟脉冲到来时相加运算,输出结果。在本设计中共有8个:两个10位有符号数相加产生一个11位有符号数的

14、加法器、一个18位和19位有符号数相加产生20位有符号数的加法器、一个两个20位有符号数相加产生一个21位有符号数的加法器、一个两个19位有符号数相加产生一个20位有符号位数的加法器、一个20位和21位有符号数相加产生22位有符号数的加法器,以及一个20位和22位有符号数相加产生23位有符号数的加法器电路。具体如下: 两个10位有符号数相加产生一个11位有符号数的加法器设计:由分析可写出如下程序:LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_arith.all;ENTITY add101011 IS PORT(a,b: IN SIGNED(9 DOWNTO 0); clk: IN STD_LOGIC; s:OUT SIGNED(10 DOWNTO 0);END add101011;ARCHITECTURE sum101011 OF add101011 ISBEGIN PROCESS(clk) BEGIN IF(clkEVENT AND clk=1)THEN s=(a(9)&a)+(b(9)&b); END IF; END PROCESS;END sum101011;程序编译后就可进行仿真,仿真结果如图

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号