2022年EDA技术考试模拟考试卷

上传人:金诺****简谱 文档编号:352407374 上传时间:2023-05-18 格式:DOC 页数:15 大小:56.50KB
返回 下载 相关 举报
2022年EDA技术考试模拟考试卷_第1页
第1页 / 共15页
2022年EDA技术考试模拟考试卷_第2页
第2页 / 共15页
2022年EDA技术考试模拟考试卷_第3页
第3页 / 共15页
2022年EDA技术考试模拟考试卷_第4页
第4页 / 共15页
亲,该文档总共15页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《2022年EDA技术考试模拟考试卷》由会员分享,可在线阅读,更多相关《2022年EDA技术考试模拟考试卷(15页珍藏版)》请在金锄头文库上搜索。

1、2022年EDA技术考试模拟考试卷姓名:_ 年级:_ 学号:_题型选择题填空题解答题判断题计算题附加题总分得分评卷人得分1、名词解释VHDL和FPGA2、单选题不完整的IF语句,其综合结果可实现( )。 A、时序逻辑电路 B、组合逻辑电路 C、双向电路 D、三态控制电路3、单选题QuartusII的设计文件不能直接保存在( )。 A、系统默认路径 B、硬盘根目录 C、项目文件夹 D、用户自定义工程目录4、单选题IP核在EDA技术和开发中具有十分重要的地位提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为( )。 A、软IP B、固IP C、硬IP D、全对5、单

2、选题嵌套的IF语句,其综合结果可实现( )。 A、条件相与的逻辑 B、条件相或的逻辑 C、条件相异或的逻辑 D、三态控制电路6、名词解释JTAG7、单选题下列状态机的状态编码,方式有“输出速度快、难以有效控制非法状态出现”这个特点。( ) A、状态位直接输出型编码 B、一位热码编码 C、顺序编码 D、格雷编码8、问答题用VHDL/VeilogHDL语言开发可编程逻辑电路的完整流程。9、单选题基于VHDL设计的仿真包括有门级时序仿真、行为仿真、功能仿真和前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是( )。 A、 B、 C、 D、10、填空题以EDA方式设计实现的电路设计文件,最终

3、可以编程下到( )和( )芯片中,完成硬件设计和验证。11、单选题在EDA工具中,能完成在目标系统器件上布局布线软件称为( )。 A、仿真器 B、综合器 C、适配器 D、下载器12、单选题在VHDL中( )不能将信息带出对它定义的当前设计单元。 A、信号 B、常量 C、数据 D、变量13、单选题仿真是对电路设计的一种( )检测方法 A、直接的 B、间接的 C、同步的 D、异步的14、单选题综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中( )是错误的。 A、综合就是将电路的高级语言转化成低级的,可与FPGA/CPLD的基本结构相映射

4、的网表文件 B、为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 C、综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的 D、综合是纯软件的转换过程,与器件硬件结构无关15、填空题MAX+PLUS的文本文件类型是( ).( )。16、填空题一般把EDA技术的发展分为( )、( )和( )三个阶段。17、单选题大规模可编程器件主要有FPGA、CPLD两类,其中CPLD通过( )实现其逻辑功能。 A、可编程乘积项逻辑 B、查找表(LUT) C、输入缓冲 D、输出缓冲18、名词解释EDA19、问答题结构体的三种描述方式。20、填空题V

5、HDL的数据对象包括( )、( )和( ),它们是用来存放各种类型数据的容器。21、单选题执行QuartusII的( )命令,可以对设计电路进行功能仿真或者时序仿真 A、CreateDefaultSymbol B、StartSimulation C、CompilerD.TimingAnalyzer22、填空题EDA设计输入主要包括( )、( )和( )。23、填空题图形文件设计结束后一定要通过( ),检查设计文件是否正确。24、单选题IP核在EDA技术和开发中占有很重要的地位,提供VHD、L硬件描述语言功能块,但不涉及实现该功能模块的具体电路的IP核为( )。 A、硬件IP B、固件IP C、

6、软件IP D、都不是25、填空题时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能( )。26、单选题状态机编码方式中,其中( )占用触发器较多,但其简单的编码方式可减少状态译码组合逻辑资源,且易于控制非法状态。 A、一位热码编码 B、顺序编码 C、状态位直接输出型编码 D、格雷码编码27、单选题建立设计项目的菜单是( ) A、“File”“New” B、“Project”“NewProjectWizard” C、“File”“NewProjectWizard”28、单选题IP核在EDA技术和开发中具有十分重要的地位,IP分软IP、固IP、硬IP;

7、下列所描述的IP核中,对于固IP的正确描述为( ). A、提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路 B、提供设计的最总产品模型库 C、以可执行文件的形式提交用户,完成了综合的功能块 D、都不是29、单选题电子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化)下列方法中( )不属于面积优化。 A、流水线设计 B、资源共享 C、逻辑优化 D、串行化30、填空题在PC上利用VHDL进行项目设计,不允许在根目录下进行,必须在( )为设计建立一个工程目录。31、单选题下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确

8、的( )。 A、原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计 B、原理图输入设计方法一般是一种自底向上的设计方法 C、原理图输入设计方法无法对电路进行功能描述 D、原理图输入设计方法不适合进行层次化设计32、单选题对利用原理图输入设计方法进行数字电路系统设计,下面说法是不正确的( ) A、原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计; B、原理图输入设计方法一般是一种自底向上的设计方法; C、原理图输入设计方法无法对电路进行功能描述; D、原理图输入设计方法也可进行层次化设计。33、填空题EDA设计流程包括( )、( )、( )和( )四个步骤。34、单选题下

9、面既是并行语句又是串行语句的是( )。 A、变量赋值 B、信号赋值 C、PROCESS语句 D、WHENELSE语句35、单选题大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是( )。 A、CPLD是基于查找表结构的可编程逻辑器件 B、CPLD即是现场可编程逻辑器件的英文简称 C、早期的CPLD是从FPGA的结构扩展而来 D、在Xilinx公司生产的器件中,XC9500系列属CPLD结构36、名词解释布局布线37、单选题关于EDA技术的设计流程,下列顺序正确的是( ) A、原理图/HDL文本输入功能仿真综合适配编程下载硬件测试 B、原理图/HDL文本

10、输入适配综合功能仿真编程下载硬件测试; C、原理图/HDL文本输入功能仿真综合编程下载适配硬件测试; D、原理图/HDL文本输入功能仿真适配编程下载&rarr38、单选题在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是( )。 A、PROCESS为一无限循环语句 B、敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动 C、当前进程中声明的变量不可用于其他进程 D、进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成39、单选题综合是EDA设计流程的关键步骤,在下面对综合的描述中,( )是错误的。 A、综合就是把抽象设计层次中的一种表示转化

11、成另一种表示的过程。 B、综合就是将电路的高级语言转化成低级的,可与FPGA/CPLD的基本结构相映射的网表文件。 C、为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束。 D、综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。40、单选题在VHDL中,为定义的信号赋初值,应该使用( )符号。 A、=: B、= C、:= D、A、设计实体 B、结构体 C、输入 D、输出42、单选题在VHD、L中用( )来把特定的结构体关联一个确定的实体,为一个大型系统的设计提供管理和进行工程组织。 A、输入 B、输出 C、综

12、合 D、配置43、单选题在VHD、L语言中,下列对进程(PROC、ESS)语句的语句结构及语法规则的描述中,不正确的是( ) A、PROC、ESS为一无限循环语句敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动。 B、敏感信号参数表中,不一定要列出进程中使用的所有输入信号 C、进程由说明部分、结构体部分、和敏感信号三部分组成 D、D.当前进程中声明的变量不可用于其他进程44、单选题VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库( )。 A、IEEE库 B、VITAL库 C、STD库 D、WORK工作库45、单选题电子系统设计优化,主要考虑提高资源利用率减少功耗

13、(即面积优化)及提高运行速度(即速度优化),下列方法( )不属于面积优化。 A、流水线设计 B、资源共享 C、逻辑优化 D、串行化46、单选题使用QuartusII工具软件建立仿真文件,应采用( )方式 A、图形编辑 B、文本编辑 C、符号编辑 D、波形编辑47、单选题下列关于信号的说法不正确的是( )。 A、信号相当于器件内部的一个数据暂存节点。 B、信号的端口模式不必定义,它的数据既可以流进,也可以流出。 C、在同一进程中,对一个信号多次赋值,其结果只有第一次赋值起作用。 D、信号在整个结构体内的任何地方都能适用。48、单选题在VHDL中,可以用语句( )表示检测clock下降沿。 A、clockevent B、clockeventandclock=1

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 资格认证/考试 > 其它考试类文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号