基于fpga的直流电机系统控制研制.doc

上传人:F****n 文档编号:98877633 上传时间:2019-09-15 格式:DOC 页数:35 大小:4.35MB
返回 下载 相关 举报
基于fpga的直流电机系统控制研制.doc_第1页
第1页 / 共35页
基于fpga的直流电机系统控制研制.doc_第2页
第2页 / 共35页
基于fpga的直流电机系统控制研制.doc_第3页
第3页 / 共35页
基于fpga的直流电机系统控制研制.doc_第4页
第4页 / 共35页
基于fpga的直流电机系统控制研制.doc_第5页
第5页 / 共35页
点击查看更多>>
资源描述

《基于fpga的直流电机系统控制研制.doc》由会员分享,可在线阅读,更多相关《基于fpga的直流电机系统控制研制.doc(35页珍藏版)》请在金锄头文库上搜索。

1、 本 科 毕 业 设 计 论 文 基于 FPGA 的直流电机控制系统研 制 学 生 姓 名:刘明达 班 级:电自 113 学 号: 指 导 教 师:刘晓峰 所 在 单 位: 电气工程学院 答 辩 日 期: 2015 年 6 月 28 日 2 摘 要 EDA 技术具有很强的实践性,在学习过程中必须加强实际动手能力的训练, 将书本上介绍的理论知识与实际应用相结合,从丰富多样的实验操作中增加实 践经验,从应用实例中学习和模仿编程方法,可以获得事半功倍的效果。 直流电机具有速度控制容易,启、制动性能良好等优点,本文利用了 PWM(脉 宽调制) 调速方法。 本课题介绍了利用 FPGA 对直流电机实现控制

2、的方法及其电路设计,直流电 机转速控制器,锯齿波发生器,PMW 波形发生器,来实现对直流电机的控制。 采用 VHDL 语言对 FPGA 进行编程,将直流电机转速控制器和锯齿波发生器的值 进行比较,从而实现对直流电机速度以及旋转方向精确灵活的控制。 关键词:FPGA,直流电机,VHDL,Quartus 东北电力大学本科毕业设计论文 I Abstract The technology of EDA has the very strong practicality, we must strengthen the capacity of the actual hands-training in the

3、 learning process,we must put the knowledge we have learned from the books into application,then we can accumulate much experience from various operations,we can also learn how to program and imitate it .This can be suppressed effectively. DC motors have many advantages,such as easy speed controllin

4、g and good starting and braking performance. This article introduces how to use FPGA to control the DC motors and design electric circuit,we can control the DC motors through DC motor speed controller, sawtooth generator and PMW waveform generator. We use VHDL for FPGA programming , We compare the v

5、alue of the DC motor speed controller and sawtooth generator so that we can control the speed of DC motor and the rotation direction accurately and flexibly. Keywords: FPGA ,DC Motor,VHDL, Quartus II II 目 录 摘 要.I AbstractII 第 1 章 绪 论1 1.1 课题研究背景及意义1 1.2 课题研究现状1 1.3 本课题所做的工作1 第 2 章 EDA 技术及 VHDL 描述语言3

6、 2.1 EDA 的发展历程 .3 2.1.1 EDA 的起源 .3 2.1.2 EDA 发展史及其主要内容. 3 2.2 FPGA 简介 4 2.2.1 FPGA 的开发与配置 5 2.2.2 FPGA 优点及 FPGA/CPLD 的选择 5 2.3 VHDL 简介6 2.3.1 VHDL 的特点7 第 3 章 直流电机控制方案概述和对比.8 3.1 直流电机控制概述8 3.1.1 直流电机控制方案对比8 3.1.2 FPGA 为核心器件的直流电机控制的系统构成 9 第 4 章 基于 VHDL 的硬件模块设计 .10 4.1 基于 FPGA 的直流电机 PWM 控制 .10 4.2 实现系统

7、控制的各模块.11 4.2.1 直流电机转速控制器(binary_up_down_counter) .11 4.2.2 锯齿波发生器(binary_counter).15 4.2.3 PMW 波形发生器(CMP) .16 4.3 直流电机工作时序分析.21 第 5 章 液晶图文显示22 5.1 LCD 液晶显示 22 东北电力大学本科毕业设计论文 III 5.5.1 OCMJ 液晶显示器 .22 5.5.2 模块管脚说明.22 5.2 液晶图文显示 .23 结 论27 致 谢28 参 考 文 献.29 附 录 1:原理图 30 附 录 2:液晶显示部分实物图 31 第 1 章 绪 论 1.1

8、课题研究背景及意义 电动机作为机电能量转换装置,一直在现代化的生产和生活中起着十分重 要的作用1。直流电机由于具有速度控制容易,启、制动性能良好,且在宽范围 内平滑调速等特点而在冶金、制造、轻工等工业部门中得到广泛应用。 由于现今集成电路、现 代 电力电子技术、控 制 理 论 的飞快发 展,如今电机 控制技术已经得到普及,已经由过去的提供动 力为目的转变为可以对 电 机进行 精 确 管 制。通常应用 A LTERA 公司的 现 场 可 编 程 门 阵 列 ( F P G A ) 芯 片来进行对 电 机 控 制 器 的 设 计 、 制 造 和 调 试且在此基础上来分析研究应用控制器对直流 电机来

9、进行调度控制的方法 。 1.2 课题研究现状 近几年来,由于微电子技术与计算机技术的飞速发展及单片机的普及,将调 速装置向密集化、小型化和智能化方向发展。国外交直流系统数字化已经达到 实用阶段。由微处理器为技术核心的数字控制系统硬件电路的标准化程度高, 需要成本低,并不受器件的温度漂移所引起的影响。数字控制系统能够有效的 进行逻 辑判断和复杂的运算,能做到不同于一般线性调节的最优化、适应性、 非线性、智能化等控制规律。如采用微机控制产生 PWM 信号。用微机或单片机 产生 PWM 信号波形,需要通过 D/A 转换器产生锯齿波电压和设置参考电压,通 过外接模拟比较器输出 PWM 波形,因此外围电

10、路比较复杂2。单片机,虽然 D S P 具 备生 成 PWM 信号及捕获电机编码器信号的能力,但其对 IC 生成 PWM 信 号 的通 道 数 目及电 机 编 码 器 捕 获 通 道 数 目 有 限。对多个 IV 直流电机的控制很难满足要求。 基于现场可编程门阵列FPGA对直流电机的控制策略, 为实现电动机数字控 制提供了一种有效的方法3。FPGA器 件具有集成度高、体积小、运算速度快、 易于修改等特点。用FPGA来实现多 路PWM调控,内部自带数模变换功能,不再 需要外接数 模 转 换设 备,形 式简单,误差小、控 制 性 能 强。用单片机 和DSP 的控制都难以达到同样的控制效果。 1.3

11、 本课题所做的工作 FPGA 的开发 语 言 ( V H D L 语 言 ) 是 一 种 用 于 数 字 系 统 设 计和测 试 的 硬 件 描 述 语 言,也是我们国家极力推广的一 种标 准 语 言。本课题采用 VHDL 语言编程, 利用 Altera 公司的 QuartusII 开发平台创建成调速系统所需的各个特殊功能 “器件”。通过设计工具 Quartus对设计进行综合、适配与时序仿真,利用 FPGA 器件EP1K30 实现对 PMW 占空比的调节,从而达到对直流电机控制系统的 设计4。具体工作如下: 1.掌握 VHDL 硬件编程语言; 2.掌握 Altera 公司推出的集成仿真工具 Q

12、uartusII 6.0 的使用方法; 3.利用 VHDL 硬件编程语言设计直流电机系分驱动控制模块工程项目; 4.以 EP1K30TC144-3 器件为核心制作可实际运行的直流电机系分驱动控制 硬件电路。 东北电力大学本科毕业设计论文 V 第 2 章 EDA 技术及 VHDL 描述语言 2.1 EDA 的发展历程 2.1.1 EDA 的起源 ED A 是电子设计自 动化(E lectronic Design Automation)缩写,是 1965 年结合了 C A D、C A M、C A T 和 C A E 的理念,并将其完 善得 到的。ED A 技术是以计 算机为工具,根据硬件描述语言

13、H DL( Hardware Description language)完 成的设计文件,然后由计算机自动地完成逻 辑编 译、化 简、分 割、综 合及优 化、 布局布 线和仿 真以及对于特定目标芯 片的适 配编 译和编 程下 载等工作。典型的 EDA 工具中必须包含两个特殊的软件包,即综合器和适配器。综合器的功能就 是将设计者在 EDA 平台上完成的针对某个系统项目的 HDL、原理图或状态图形 描述,针对给定的硬件系统组件,进行编译、优化、转换和综合,最终获得我 们欲实现功能的描述文件4。综 合 器在工作前,要给 定所要实 现的硬 件 结 构 参 数,其功 能就是将软 件 描 述和所给 定的硬

14、 件 结 构 用 一 定的方 式联 系起来。也 就是,综 合 器要在软 件 描 述与硬 件 实 现间 搭一座桥 梁。综 合过 程就是要将电 路 的高 级 语 言 描 述转换成低 级 的、可与目 标 器 件 F P GA/C PLD 相 映 射的网 表 文 件。 2.1.2 EDA 发展史及其主要内容. 人类已步入高 度发 达的信 息化社 会,信 息化社 会的发 展永远离不开电 子产 品的进 步。虽然电子产品的功能越来越强大,制作工艺也愈加繁琐,可其价 格 却只低不高,同时电子产品的淘汰速度逐年加开,淘汰率也逐年增大,导致上 VI 述现象的因素很多,但之所以有这样飞跃性的发展,要归功于电子设计技

15、术的 日益发达。电子设计技术当中应用最广泛的便是 E DA。EDA 可以对以下三种设计 进行辅助: 1) I C 设 计 2) 电 子 电 路设计 3) PCB 设计 在 EDA 没有被研发成功时,要实现超大规 模集成电路的设 计 制造是不可能 的,同时,怎样完善 EDA 来适应发展愈发快速的电 子 设 计 工 业,是一个值得探 讨的问题。E DA 技 术有三个发 展 阶 段: (1)第一个阶段为 C AD 的应 用,在这个阶 段以前,人们对于版 图设 计,布 局等 工作只能手 工完成。而在这之后,人们开始利用计算机对其进行辅 助工作。这 个时期的主要存在两个问题,即:EDA 软件的功能单一,

16、相互独立;对相对复 杂的电 子系 统设计,不能提 供系 统级的仿 真和综 合,所以在设 计中错 误只能在 产 品开 发的后 期才能发现,这时想要修正是十分困 难的。(2)八十年代为 CAE 阶段,与 CAD 相比,除了纯粹的图形绘制功能外,又增加了电 路功能设 计和结 构设 计,并通 过电 气连接网 络表将两者结合于一起,实 现了工程设计,这就是 计算机辅 助工 程的概 念。C AE 的主要作用是:原 理图输 入,逻 辑仿 真,电 路分 析,自 动布 局布 线,P CB 后分析。在这个阶段中,基于门阵列和标准单元库设 计的半定制 ASIC 得到了极大的发展,将电子系统设计推入了 ASIC 时代。但是, 大部分从原理图出发的 CAE 工具仍然不能适应复杂电子系统的要求,而且具体 化的元件图形也制约着优化设计。(3)九十年代为 E SDA 阶段。尽管 C AD/C AE 的 技术取得了巨大的成功,但 并没有将人从繁 重的 设 计工作中彻底的解 放出来。 在整 个的设 计过程中,自 动化与智 能化的程 度还不高,各种 E DA 软 件界

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 教学/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号