【2017年整理】基于FPGA的FSK调制波形产生器仿真及设计1

上传人:鲁** 文档编号:984392 上传时间:2017-05-24 格式:DOC 页数:15 大小:1.27MB
返回 下载 相关 举报
【2017年整理】基于FPGA的FSK调制波形产生器仿真及设计1_第1页
第1页 / 共15页
【2017年整理】基于FPGA的FSK调制波形产生器仿真及设计1_第2页
第2页 / 共15页
【2017年整理】基于FPGA的FSK调制波形产生器仿真及设计1_第3页
第3页 / 共15页
【2017年整理】基于FPGA的FSK调制波形产生器仿真及设计1_第4页
第4页 / 共15页
【2017年整理】基于FPGA的FSK调制波形产生器仿真及设计1_第5页
第5页 / 共15页
点击查看更多>>
资源描述

《【2017年整理】基于FPGA的FSK调制波形产生器仿真及设计1》由会员分享,可在线阅读,更多相关《【2017年整理】基于FPGA的FSK调制波形产生器仿真及设计1(15页珍藏版)》请在金锄头文库上搜索。

1、基于FPGA的FSK调制波形产生器仿真及设计一 实验目的:熟悉QUARTUS II的使用方法,学习VHDL编程方法、FPGA硬件资源的使用及控制以及D/A转换器件的应用,进一步将数字电路、模拟电路、EDA技术等课程的理论知识进行综合应用。综合运用编解码技术、FSK 调制解调、DDS正弦载波合成技术及VHDL 编程仿真技术等,完成基于FPGA的FSK调制波形发生器的设计和实现。二 实验仪器、设备:GW48-CK EDA开发系统、 PC机、20MHz 示波器等三 实验内容及要求(具体内容祥见附录):1、 设计基于FPGA的FSK调制波形产生器的硬件原理图;(提示:应包含输入按键、FPGA芯片、D/

2、A、滤波器、LED数码显示等)2、 EDA工具采用QUARTUS II;3、 FPGA芯片:EPD1K30T144-3;4、 D/A芯片:DA0832(8bit);5、 输出信号波形:FSK 调制信号,其中正弦载波f1=625Hz表示“ 1”; 正弦载波f2=125Hz表示“0”。6、 输出数据内容:7+学号后三位(共4位,每位都用BCD码表示,位间用1bit 的低电平表示)。7、 输出信号幅度: 5V, 9V 可用按键控制切换;8、 输出信号码率:24 bit/s;24 bit/S-96 bit/s可调(8 bit步进,选作)9、 要求在QUARTUS II上完成FSK调制波形产生器设计,包

3、括各模块输出仿真波形和资源利用情况,最后在实验系统上用示波器测试波形。FPGA芯片配置说明本次信号发生器设计采用VHDL语言设计并通过QUARTUS II 软件编译、仿真完成后,需将生成的配制文件下载到EDA实验箱中测试输出波形。有关配制情况说明如下:1实验平台:GW48系列EDA/SOC 实验开发系统2 FPGA芯片型号:Altera EP1K30TC144-33芯片管脚分配: (实验模式设置 : 5 )信号名称(参考) EP1K30TC144-引脚信号含义 实验箱中接口CLK 126 时钟RESET 19 复位 键8SEL0 8 状态选择0 键1SEL1 9 状态选择1 键2SEL2 10

4、 状态选择2 键3DO0 41 数据输出位0 D/A-D0DO1 42 数据输出位1 D/A-D1DO2 65 数据输出位2 D/A-D2DO3 67 数据输出位3 D/A-D3DO4 68 数据输出位4 D/A-D4DO5 69 数据输出位5 D/A-D5DO6 70 数据输出位6 D/A-D6DO7 72 数据输出位7 D/A-D7四 实验原理:简介:系统以FPGA为核心,辅以必要的模拟电路, 构成了两路基于DDS 技术的正弦信号发生器。其主要模块有正弦波生成、幅度控制、D/A转换和后级处理等功能。 同时通过VHDL语言编程在FPGA 上实现基带信号的产生、BCD编码、同步编码,然后送入

5、FSK调制模块进行调制,调制后一方面通过QUARTUS II软件仿真,验证其正确性,同时送出到FPGA片外进行D/A转换处理,再采用低通滤波器和功率放大电路来提高波形质量和负载能力,最终得到所要求的FSK调制信号。FPGA 调制解调器 FSK(Frequeney-Shift Keying,频移键控)是用不同频率的载波来传送数字信号。FSK信号具有抗干扰能力强、传输距离远等优点,在只常生活和工业控制中被广泛采用。例如CID(Calling Identity Delivery)来电显示,低速的Modem,铁路系统和电力系统的载波通信中也广泛使用他来传送各种控制信息。以往的FSK调制解调器采用“集成

6、电路+连线”方式设计,集成块多、连线复杂且体积较大。本文基于FPGA芯片,采用VHDL语言,利用层次化、模块化设计方法,提出了一种FSK调制解调器的实现方法。 1 系统整体结构框图本文设计的FSK调制解调器采用了ALTERA公司的EP1C3T144C8芯片,系统主时钟频率为20 MHz(芯片外部有源晶振),“0”,“1”数字信号由伪随机信号(m序列)发生器产生。为完成FSK调制器和解调器的发送与接收,由FPGA芯片完成的系统整体逻辑功能框图如图1所示。2系统的具体设计与实现2.1 伪随机序列的产生最大长度线性移位寄存器序列(m序列)是数字通信中非常重要的、应用十分广泛的一种伪随机序列。由于他具

7、有随机性、规律性及较好的自相关性和互相关性,而且要求设备简单,易于实现,成本低的特点,本系统采用m序列作为数字基带信号进行程序调试。m序列是由带线性反馈的移位寄存器产生的周期最长的一种二进制序列。线性反馈移位寄存器的一般结构如图2所示。他是由n级移位寄存器,若干模二加法器组成线性反馈逻辑网络和时钟脉冲产生器连接而成。由于带有反馈,因此在移位脉冲作用下,移位寄存器各级的状态将不断变化,通常将移位寄存器的最后一级作为输出,由此所产生的输出序列为:ak=a0a1ak-1。输出序列是一个周期序列,其特性由移位寄存器的级数、初始状态、反馈逻辑及时钟频率(决定着输出码元的宽度)所决定。当移位奇存器的级数及

8、时钟一定时,输出序列就由移位寄存器的初始状态及反馈逻辑完全确定;当初始状态为全零状态时,移位寄存器输出全0列。因此初始状态不能为全零状态。本系统选用m序列的级数为n=7,序列长度为m=27-1=127,若选用的反馈系数的八进制数值为235,转换成二进制数值为10011101,即c0=c2=c3=c4=c7=1,c1=c5=c6=0。仿真波形如图3所示。2.2 FSK调制本系统是利用2个独立的分频器来改变输出载波频率,以数字键控法来实现FSK捌制。数字键控法也称为频率选择法,他有2个独立的振荡器,数字基带信号控制转换开关,选择不同频率的高频振荡信号实现FSK调制。键控法产生的FSK信号频率稳定度

9、可以做到很高并且没有过渡频率,他的转换速度快,波形好,频率键控法在转换开天发生转换的瞬刚,2个高频振荡的输出电压通常不相等,于是已调信号在基带信息变换时电压会发生跳变,这种现象称为相位不连续,这是频率键控特有的情况。本文设计的FSK调制系统方框图如图4所示。2.3 FSK解调过零检测法与其他解调方法相比较,最明显的特点就是结构简单,易于实现,对增益起伏不敏感,特别适用于数字化实现。他是一种经济、实用的最佳数字解调方法。其方框图如图5所示。他利用信号波形在单位时间内与零电平轴交义的次数来测定信号频率。输入的已调信号经限幅放大后成为矩形脉冲波,再经微分电路得到l圾向尖脉冲,然后整流得到单向尖脉冲,

10、每个尖脉冲表示信号的一个过零点,尖脉冲的重复频率就是信号频率的2倍。将尖脉冲去触发一单稳态电路,产生一定宽度的矩形脉冲序列,该序列的平均分量与脉冲重复频率成正比,即与输入频率信号成正比。所以经过低通滤波器输出平均量的变化反映了输入信号的变化,这样就完成了频率-幅度变换,把码元“1”与“0”在幅度上区分开来,恢复出数字基带信号。本文设计的FSK解调方框图如图6所示。3 系统仿真与实验结果分析整个设计使用VHDL编写,以EP1C3T144CS为下载的目标芯片,在Quartus II软件平台上进行布局布线后进行波形仿真,可得到如图7所示的波形图。其中:clk为输入主时钟信号;en为置位信号;clks

11、为clk经过200分频器的输出信号;ps7为时钟源经过n=7的伪随机发生器产生的伪随机(m序列)信号;fsk为ps7经过FSK调制器后的已调信号;q为fsk经过FSK解调器后的解调信号。在实际硬件电路上进行测试,用示波器观察各个模块的工作过程,得到如图8和图9所示的波形图。其中,圈8中Ch1为已调信号,Ch2为数字基带信号。图9中Ch1为数字基带信号,Ch2为解调信号。由上面的软件和硬件的测试结果可知:(1)本系统的FSK调制解调器功能已经实观,结果正确无误,经验证满足预期的设计指标要求,且其整个工作过程可通过软件波形仿真,或是实际硬件电路通过示波器来直观、清晰观察。(2)传统的调制解调方式可

12、以采用软件与硬件结合的方式来实现,符合未来通信技术发展的方向。在数字通信系统中,数字调制与解调技术占有非常重要的地位。文中介绍了FSK调制解调的基本原理,用VHDL语言实现了2FSK调制解调器的设计,整个系统设计在MAX+plusII开发平台上进行编译仿真,最后在EPM7032LC44-15目标芯片上实现。仿真结果表明此设计方案是可行的,系统具有较高的实用性和可靠性。在通信系统中,基带数字信号在远距离传输,特别是在有限带宽的高频信道如无线或光纤信道上传输时,必须对数字信号进行载波调制,这在日常生活和工业控制中被广泛采用。数字信号对载波频率调制称为频移键控即FSK。FSK是用不同频率的载波来传送

13、数字信号,用数字基带信号控制载波信号的频率,是信息传输中使用较早的一种调制方式。它的主要特点是:抗干扰能力较强,不受信道参数变化的影响,传输距离远,误码率低等。在中低速数据传输中,特别是在衰落信道中传输数据时,有着广泛的应用。但传统的FSK调制解调器采用集成电路+连线的硬件实现方式进行设计,集成块多、连线复杂且体积较大,特别是相干解调需要提取载波,设备相对比较复杂,成本高。本文基于FPGA芯片,采用VHDL语言,利用层次化、模块化设计方法,提出了一种2FSK调制解调器的实现方法。调制信号是二进制数字基带信号时,这种调制称为二进制数字调制。在二进制数字调制中,载波的幅度、频率和相位只有两种变化状

14、态。相应的调制方式有二进制振幅键控(2ASK),二进制频移键控(2FSK)和二进制相移键控(2PSK)。2FSK就是用两种不同频率的载波来传送数字信号。特别适合应用于衰落信道,其占用频带较宽,频带利用率低,实现起来较容易,抗噪声与抗衰减的性能较好,在中低速数据传输中得到了广泛的应用。1 调制解调的基本原理FSK就是利用载波信号的频率变化来传递数字信息。在2FSK中,载波的频率随二进制基带信号在f1和f2两个频率点之间变化。故其表达式为:也就是说,一个2FSK信号可以看成是两个不同载频的2ASK信号的叠加。因此,2FSK信号的时域表达式又可以写成:在移频键控中, 和 不携带信息,通常可以令和 为

15、零。因此,2FSK信号的表达式可简化为: 其中:2FSK信号的产生方法主要有两种。一种可以采用模拟调频电路来实现,另一种可以采用键控法来实现,即在二进制基带矩形脉冲序列的控制下通过开关电路对两个不同的独立频率源进行选通,使其在每个码元Ts期间输出f1或f2两个载波之一。这种方法产生2FSK信号的差异在于:由调频法产生的2FSK信号在相邻码元之间的相位是连续变化的。而键控法产生的2FSK信号,是由电子开关在两个独立的频率源之间转换形成,故相邻码元之间的相位不一定连续。针对FSK信号的特点,我们可以提出基于FPGA的FSK调制器的一种实现方法-分频法,这种方法是利用数字信号去控制可变分频器的分频比

16、来改变输出载波频率,产生一种相位连续的FSK信号,而且电路结构简单,容易实现。在2FSK信号中,载波频率随着二元数字基带信号(调制信号)的1或0而变化,1对应于频率为f1的载波,0对应于频率为f2的载波。2FSK的已调信号的时域表达式为:2FSK信号的常用解调方法是采用非相干解调和相干解调。其解调原理是将2FSK信号分解为上下两路2ASK信号分别进行解调,然后进行判决。这里的抽样判决是直接比较两路信号抽样值的大小,可以不专门设置门限。判决规则应与调制规则相呼应,调制时若规定1符号对应载波频率f1,则接收时上支路的样值较大,应判为1,反之则判为0。2 2FSK调制器设计2.1 分频法实现2FSK调制器键控法也常常利用数字基带信号去控制可变分频器的分频比来改变输出载波频率,从而实现FSK的调制。实现2FSK调制的原理方框图如图1所示。图1 2FSK调制实现原理框图其中FSK调制的核心部分包括分频器、二选一选通开关等,图中的两个分频器分别产生两路数字载波信号;二选一选

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 行业资料 > 工业设计

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号