基于EDA技术的三层电梯控制程序设计

上传人:jiups****uk12 文档编号:90654998 上传时间:2019-06-14 格式:DOC 页数:48 大小:999.54KB
返回 下载 相关 举报
基于EDA技术的三层电梯控制程序设计_第1页
第1页 / 共48页
基于EDA技术的三层电梯控制程序设计_第2页
第2页 / 共48页
基于EDA技术的三层电梯控制程序设计_第3页
第3页 / 共48页
基于EDA技术的三层电梯控制程序设计_第4页
第4页 / 共48页
基于EDA技术的三层电梯控制程序设计_第5页
第5页 / 共48页
点击查看更多>>
资源描述

《基于EDA技术的三层电梯控制程序设计》由会员分享,可在线阅读,更多相关《基于EDA技术的三层电梯控制程序设计(48页珍藏版)》请在金锄头文库上搜索。

1、摘 要 电梯作为现代化的产物,早在上个世纪就进入了我们的生活之中。大规模的经济建 设尤其是蓬勃发展的房地产业给国内电梯行业开拓了更为广阔的市场。随着经济建设的 持续高速发展,我国电梯需求量越来越大。由此,一个更为庞大的电梯市场已经在国内 轰然形成。我国以前主要都是依靠国外的进口技术,本国的电梯厂商主要都是依靠为进 口电梯作销售代理或者售后维修进行经营。但是随着技术的革新和与国外的交流,当今 经济建设需求的各类电梯,几乎全部都可以在中国生产。电梯生产作为一门国家的新兴 产业,它这种能有减少人口膨胀对环境所造成的巨大压力的特性,注定了其在中国具有 一片光明的前景。 本设计就是基于电子设计自动化(E

2、lectronic Design Automation)技术中的甚高速集 成电路硬件描述语言(Very High Speed Integrated Circuit Hardware Descrisioon Language)语言所开发的三层电梯控制程序,实验调试平台是 Altera 公司的 MAX+plusII 软件。本程序具有 VHDL 语言设计里最为常用的三个模块:实体 entity, 对控制器的实体(输入输出)端口进行定义;结构体 architecture,对控制器内部的信号 端以及寄存器进行定义;进程执行单元 process,对控制器的所有输入输出端口、内部信 号端口以及寄存器进行功能

3、、行为描述。通过程序调试及运行仿真,结果表明,本程序 可以完成:电梯运行所在楼层指示、电梯运行方向指示、关门延时设置、看门狗报警、 超载报警、故障报警等。本设计对更高层的电梯控制设计具有一定的拓展性。 关键词:关键词:电梯控制 程序设计 EDA VHDL MAX+plusII 英文缩略词:英文缩略词: EDA Electronic Design Automation 电子设计自动化 VHSIC Very High Speed Integrated Circuit 甚高速集成电路 VHDL Very High Speed Integrated Circuit Hardware Descrisio

4、on Language 甚高速集成电路硬件描述语言 MAX+plusII Multiple Array Matrix and Programmable Logic User System 多阵列矩阵和可编程逻辑使用者系统 CAD Computer Adied Design 计算机辅助设计 CAM Computer Adied Manufacture 计算机辅助制造 CAT Computer Adied Testing 计算机辅助测试 CAE Computer Adied Engineering 计算机辅助工程 HDL ardware Descrisioon Language 硬件描述语言 PL

5、C Programmable Logic Controller 可编程逻辑控制器 VLSI Very Large Scale Integration 超大规模集成电路 FPGA Field Programmable Gate Array 场效应可编程门阵列 CPLD Complex Programmable Logic Device 复杂可编程逻辑器件 IEEE the Institute of Electrical and Electronics Engineers 电工和电子工程师协会 EPLD Eraserable Programmable Logic Device 可擦写可编程逻辑器件

6、 目 录 1 前言 .1 1.1 问题的提出 .1 1.2 设计目的 .1 2 设计的基础依据 .2 2.1 EDA 技术介绍2 2.2 VHDL 语言介绍.3 2.3 MAX+PLUSII 软件介绍 .3 3 文献综述 .5 3.1 PLC 在电梯控制中的应用介绍5 3.2 单片机在电梯控制中的应用介绍 .6 3.3 电梯控制的未来 .8 4 总体方案的确定 .8 4.1 基于 PLC 技术的电梯控制设计方案 .8 4.2 基于 EDA 技术的电梯控制设计方案 .9 4.3 方案选择 10 5 程序设计及调试 11 5.1 算法分析 11 5.1.1 电梯运行规则 11 5.1.2 程序流程

7、分析 15 5.2 程序设计说明 16 5.2.1 端口、寄存器设计说明 16 5.2.2 模块设计说明 17 5.2.3 具体语句设计说明 20 53 程序调试 .23 6 程序仿真 27 6.1 波形输入建立 27 6.2 电梯运行情况仿真 29 6.3 电梯功能仿真 32 7 结论 33 7.1 设计功能实现情况 33 7.2 设计存在问题 33 7.3 进一步完善建议 33 致 谢 35 参 考 文 献 .36 英 文 摘 要 .37 附 录 38 毕业设计成绩评定表 1 1 前言 1.1 问题的提出 当今世界,部分地区人口高度密集,人和土地资源短缺的矛盾日趋激化。这就注定 了必须合理

8、地利用土地去解决人与土地的矛盾。而兴建高层建筑是其中的有效措施之一。 因此,能使人们快速、便捷地到达目的楼层的电梯便应运而生了。在一些发达国家和地 区,人均电梯拥有数量一般在每万人 30 台以上,某些国家甚至达到每万人 120 台以上, 随着城镇化程度的加大,电梯市场会更加繁华。中国的电梯市场增长也很乐观,目前, 每年增长率为 15%18%。随着电梯普及率的升温,人们对电梯的要求也会越来越高。 如何更安全、更快捷地到达目的楼层,也就成了人们对电梯最为根本的要求。而电梯系 统里掌控这方面技术参数的是电梯控制系统。因此,控制系统的设计就成了在电梯设计 领域里最为核心的技术。 在电子技术飞速发展的今

9、天,现代电子产品几乎渗透到了社会的各个领域,有力地 推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步 提高,加速了电子设计技术的普及进程及技术革新。电子设计在日常生活和物质产品生 产都占到了举足轻重的地位,这尤其体现在其对电子产品的开发和设计上。 面对如此广袤的电梯市场,所谓“科技就是第一生产力” ,处于科技前沿的电子设 计技术很自然地就与电梯控制设计一拍即合,给设计师们以巨大的设计空间。因此,本 设计就是希望在以开发更安全、更快捷的三层电梯控制系统为前提下,结合电子设计技 术,对电梯控制进行设计。 1.2 设计目的 三层电梯广泛应用在大型的货运之中。其使用便捷,货

10、运周期短,效率高,成本低, 对货运事业具有相当的经济价值。在客运上,三层电梯虽然涉及楼层不高,应用范围不 大,但就特殊而言,可以为一些上下楼层不方便的人们提供相当的帮助,照顾了这些特 殊群体的感情。因此,在某种层面上说,三层电梯的设计也具有一定的社会价值。 本着“一理通,百理明”的原则,本设计希望通过在简单的三层电梯控制设计之中 如何解决升、降请求信号因电梯所处状态而产生的冲突等问题发散出去,由此及彼,对 高层电梯控制的开发作一个技术的铺垫,为高层电梯设计者提供一个基础。 针对目前中小型电梯所能实现的功能,本控制设计拟实现以下这些功能: (1)指示电梯运行所在楼层; 2 (2)指示电梯运行方向

11、; (3)关门延时设置; (4)看门狗报警; (5)超载报警; (6)故障报警。 2 设计的基础依据 现代电子设计技术的核心是 EDA 技术。基于 EDA 技术开发的实现三层电梯自动控 制与目前主流的利用可编程逻辑控制器实现电梯控制紧密相连。硬件描述语言是 EDA 技术的重要组成部分,VHDL 是作为电子设计主流硬件的描述语言。使用 VHDL 语言 进行程序的设计,在 MAX+plusII 软件上对程序进行编译、仿真。在 MAX+plusII 平台 上的开发具有编程软件具有采自易学易懂的梯形图语言、控制灵活方便、抗干扰能力强、 运行稳定可靠等优点。 2.1 EDA 技术介绍 EDA 技术是 2

12、0 世纪 90 年代初从计算机辅助设计(Computer Adied Design) 、计算 机辅助制造(Computer Adied Manufacture) 、计算机辅助测试(Computer Adied Testing)和计算机辅助工程(Computer Adied Engineering)的概念发展而来的。随着超 大规模集成电路(Very Large Scale Integration)规模和技术复杂度的急剧增长,一块芯 片内集成门已可达几十万甚至几百万门,并且还在迅速增长,电子系统的人工设计已十 分困难,必需依靠电子设计自动化技术。在利用 EDA 进行集成电路设计时,应采用高 效率的

13、 TOP-DOWN 设计方法,即根据系统的行为和功能要求,自上而下地依次完成相 应的描述、综合、优化、仿真与验证,直到生成器件。在电路描述时主要采用硬件描述 语言(HDL) 。硬件描述语言是用于设计硬件电子系统的计算机语言,它描述电子系统 的逻辑功能、电路结构和连接方式。设计者可以利用 HDL 程序来描述所希望的电路系 统,规定其结构性和电路的行为方式;然后利用 EDA 工具将此程序变成能控制场效应 可编程门阵列(Field Programmable Gate Array)/复杂可编程逻辑器件(Complex Programmable Logic Device)内部结构并实现相应逻辑功能的门级

14、或更底层的结构网表 文件和下载文件。就 FPGA/CPLD 开发来说,比较常用和流行的 HDL 主要有 ABEL- HDL 和 VHDL 等。 EDA 技术的基本特征和基本工具总的来说,现代 EDA 技术的基本特征是采用高级 3 语言描述,具有系统级仿真和综合能力。它主要采用并行工程和“自顶向下”的设计方 法,使开发者从一开始就要考虑到产品生成周期的诸多方面,包括质量、成本、开发时 间及用户的需求等等,然后从系统设计入手,在顶层进行功能方框图的划分和结构设计, 在方框图一级进行仿真、纠错、并用 VHDL、Verilog-HDL、ABEL 等硬件描述语言对 高层次的系统行为进行描述,在系统一级进

15、行验证,然后再用逻辑综合优化工具生成具 体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。简 单来说就是依赖功能强大的计算机,在 EDA 工具软件平台上,对以硬件描述语言 HDL 为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、逻辑化简、逻辑分割、逻 辑综合、结构综合(布局布线),以及逻辑优化和仿真测试,直至实现既定的电子线路 系统功能。 从另一方面看,在现代高新电子产品的设计和生产中,微电子技术和现代电子设计 技术是相互促进、相互推动又相互制约的两个技术环节。前者代表了物理层在广度和深 度上硬件电路实现的发展,后者则反映了现代先进的电子理论、电子技术、仿真技术、

16、 设计工艺和设计技术与最新的计算机软件技术有机的融合和升华。因此,严格地说, EDA 技术应该是这二者的结合,是这两个技术领域共同孕育的奇葩。 2.2 VHDL 语言介绍 VHDL 语言于 1983 年由美国国防部发起创建,由电工和电子工程师协会(the Institute of Electrical and Electronics Engineers)进一步发展并在 1987 年作为“IEEE 1076”发布。从此,VHDL 成为硬件描述语言的业界标准之一。 VHDL 作为一个规范语言和建模语言,具有很强的电路描述和建模能力,能从多个 层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可 靠性。VHDL 具有与具体硬件电路无关和设计平台无关的特性,并且具有良好的电路行 为描述和系统描述的能力,并在语言易读性和层次化结构化设计方面,表现了强大的生 命力和应用潜力。 2.3 MAX+plusII 软件介绍 MAX+plusII 是 Altera 公司提供的 FPGA/CPLD 开发

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 中学教育 > 其它中学文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号