电科19年6月考试《EDA技术》期末大作业满分哦

上传人:哼**** 文档编号:89534553 上传时间:2019-05-26 格式:DOC 页数:10 大小:34KB
返回 下载 相关 举报
电科19年6月考试《EDA技术》期末大作业满分哦_第1页
第1页 / 共10页
亲,该文档总共10页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《电科19年6月考试《EDA技术》期末大作业满分哦》由会员分享,可在线阅读,更多相关《电科19年6月考试《EDA技术》期末大作业满分哦(10页珍藏版)》请在金锄头文库上搜索。

1、19年6月考试EDA技术期末大作业-0001试卷总分:100    得分:100一、 单选题 (共 46 道试题,共 92 分)1.VHDL中信号定义的位置是( )。A.实体中任何位置B.实体中特定位置C.结构体中任何位置D.结构体中特定位置正确答案:D2.传统电路设计思想是_。A.自下而上B.自外而里C.自上而下D.自里而外正确答案:A3.使用Quartus工具软件修改设计元件符号,应采用( )方式。A.图形编辑B.文本编辑C.符号编辑D.波形编辑正确答案:C4.VHDL的描述风格有A.行为描述、数据流描述和结构描述B.行为描述、门级描述和数据流描述C.数据流描述、结构描

2、述和版图描述D.门级描述、结构描述和版图描述正确答案:A5.值为“1110”的标准逻辑矢量,进行sla运算后值为_ 。A.1100B.1101C.1110D.1000正确答案:B6.在VHDL中,结构体内部是由( )语句组成的。A.顺序B.并行C.顺序和并行D.任何正确答案:A7.功能仿真是在设计输入完成之后,选择具体器件进行编译之前进行的逻辑功能验证,又称为( )。A.前仿真B.预仿真C.预编译D.后仿真正确答案:A8.实体说明中包括端口说明,那么端口的模式可分为以下哪几种A.in,outB.in,out,inoutC.in,out,bufferD.in,out,inout,buffer正确

3、答案:D9.进程语句的启动条件是A.wait语句或敏感信号量B.wait语句C.敏感信号量D.wait语句或且敏感信号量正确答案:A10.下列标识符中,( )是不合法的标识符。A.State0B.9moonC.Not_Ack_0D.signal正确答案:B11.EAB中RAM的大小可灵活配置,Altera FLEX 10K 系列器件中的EAB作RAM用时,有哪几种配置模式A.512x8,1024x4,2048x2,4096x1B.256x8,512x4,1024x2,2048x1C.256x4,512x2,1024x1D.256x16,512x8,1024x4,2048x2正确答案:B12.V

4、HDL常用的库是A.IEEEB.STDC.WORKD.PACKAGE正确答案:A13.变量是局部量,可以写在( )。A.实体中B.进程中C.线粒体D.种子体中正确答案:B14.下面哪种语句不是并行语句A.wait语句B.process语句C.块语句D.生成语句正确答案:A15.如果A、B均为为std_logic_vector的数据类型,A的值为“100”,B的值为“011”,则B&A的值为_。A.100011B.011100C.110011D.010011正确答案:B16.文本输入方式是指采用( )进行电路设计的方式。A.CB.硬件描述语言C.C+D.JAVA正确答案:B17.变量是一

5、种局部量,变量可在以下哪些位置进行定义A.process、architecture、entityB.process、function、procedureC.function、entity、packageD.entity、package、procedure正确答案:B18.FLEX10K 结构中的最小单元是A.EABB.LABC.LED.CLB正确答案:C19.在VHDL中,PROCESS本身是( )语句。A.顺序B.顺序和并行C.并行D.任何正确答案:C20.Altera FLEX 10K 系列器件中的EAB大小为_位。A.256B.512C.1024D.2048正确答案:D21.重载操作符的

6、定义一般见于 IEEE 库的哪几个程序包A.std_logic_arith、std_logic_unsigned、std_logic_signedB.std_logic_arith、std_logic_unsigned、std_logic_1164C.std_logic_unsigned、std_logic_1164、std_logic_arithD.std_logic_1164、std_logic_arith、std_logic_unsigned、std_logic_signed正确答案:A22.下列语句中,不属于并行语句的是( )。A.进程语句B.CASE语句C.元件例化语句D.WHENE

7、LSE语句正确答案:B23.在元件例化语句中,用_符号实现名称映射,将例化元件端口声明语句中的信号与PORT MAP()中的信号名关联起来。A.=B.:=C.<=>正确答案:D24.在VHDL语言编写的程序中,注释使用( )符号。A./B.-C.;D._正确答案:B25.在VHDL中,88_670_551.453_909属于( )文字。A.整数B.以数制基数表示的C.实数D.物理量正确答案:C26.一个完整结构的结构体由哪两个基本层次组出A.数据说明和进程B.结构体说明和结构体功能描述C.顺序描述语句和并行执行语句D.结构体例化和结构体赋值正确答案:B27.在VHDL的端口声明语句

8、中,用( )声明端口为输入方向。A.INB.OUTC.INOUTD.BUFFER正确答案:A28.Altera公司开发的开发软件为A.FoundationB.ispDesignEXPERTC.MaxplusD.ISE正确答案:C29.VHDL文本编辑中编译时出现如下的报错信息Error: VHDL syntax error: signal declaration must have ;,but found begin instead. 其错误原因是( )。A.信号声明缺少分号。B.错将设计文件存入了根目录,并将其设定成工程。C.设计文件的文件名与实体名不一致。D.程序中缺少关键词。正确答案:A

9、30.关于1987标准的VHDL语言中,标识符描述正确的是( )。A.必须以英文字母开头B.可以使用汉字开头C.可以使用数字开头D.任何字符都可以正确答案:A31.符合1987VHDL标准的标识符是A.2AB.A+2C.A_2D.22正确答案:C32.下列关于元件例化语句的说法正确的是A.位置关联方式与顺序有关,名称关联方式与顺序有关。B.位置关联方式与顺序有关,名称关联方式与顺序无关。C.位置关联方式与顺序无关,名称关联方式与顺序有关。D.位置关联方式与顺序无关,名称关联方式与顺序无关。正确答案:B33.关于数组A的定义如下:signal A:bit_vector(7 downto 0);那

10、么,A=“00110101”,A(6 downto 5)_。A.00B.10C.01D.11正确答案:C34.下列关于CASE语句的说法不正确的是A.条件句中的选择值或标识符所代表的值必须在表达式的取值范围内。B.CASE语句中必须要有WHEN OTHERS=>NULL;语句。C.CASE语句中的选择值只能出现一次,且不允许有相同的选择值的条件语句出现。D.CASE语句执行必须选中,且只能选中所列条件语句中的一条。正确答案:B35.用EDA技术进行电子系统设计的目标是最终完成?( )的设计与实现。A.ASICB.FGPAC.CPLGD.ASIP正确答案:A36.EDA设计流程包括设计准备

11、、( )、设计处理和器件编程四个步骤。A.总体设计B.设计输入C.详细设计D.设计数据正确答案:B37.reg7:0?mema255:0正确的赋值是( )。A.mema5=3'd0B.8'd0C.1'b1D.mema53:0=4'd1正确答案:A38.VHDL程序基本结构包括A.实体、子程序、配置B.实体、结构体、配置、函数C.结构体、状态机、程序包和库D.实体、结构体、程序包和库正确答案:D39.可以进行在系统编程的器件是( )。A.EPROMB.PALC.GALD.FPGA正确答案:D40.下面哪一条命令是MAX+PLUSII在时序仿真时执行加载节点的命令?

12、( )。A.file-> set project to current fileB.assign->pin/location chipC.node->enter node from SNFD.file->create default symbol正确答案:C41.若a=1,b=2,下面程序执行后,a和b的值分别为 。 architecture rtl of entityName is signal a, b: integer; begin process (a, b) variable c: integer; begin a <=b ; c := a ; b &l

13、t;= c ; end process; end rtl ;A.1,2B.2,1C.1,1D.2, 2正确答案:B42.硬件描述语言HDL给PLD和数字系统的设计带来了更新的设计方法和理念,产生了目前最常用的并称之为( )设计方法。A.自顶向下B.自底向上C.协同D.总体正确答案:A43.请在下例的语句中选择所需的符号_。signal a,b,c : std_logic;c_ab after 10ns;A.:B.<C.D.正确答案:B44.下面哪个说法是错误的A.进程语句与进程语句之间是并行执行的,进程语句内部是顺序执行的B.进程语句是可以嵌套使用的C.块语句与块语句之间是并行执行的,块语句内部也是并行执行的D.块语句是可以嵌套使用的正确答案:B45.Quartus的块/图形设计文件类型是( )。A.vwfB.bdfC.vhdD.v正确答案:B46.在VHDL语言中,下列对时钟边沿检测描述中,错误的是A.if clkevent and clk = 1 thenB.if falling_edge(clk) thenC.if clkevent and clk = 0 thenD.if clkstable and not clk = 1 then正确答案:D二、 多选题 (共 4 道试题,共 8 分)1.VHDL的数字型文字包括( )。A.整数文字B.逻辑文字C

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 资格认证/考试 > 成考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号