EDA技术与VHDL设计 教学课件 ppt 作者 黄沛昱 第1-5章_ 第1章

上传人:E**** 文档编号:89347879 上传时间:2019-05-23 格式:PPT 页数:85 大小:474KB
返回 下载 相关 举报
EDA技术与VHDL设计 教学课件 ppt 作者 黄沛昱 第1-5章_ 第1章_第1页
第1页 / 共85页
EDA技术与VHDL设计 教学课件 ppt 作者 黄沛昱 第1-5章_ 第1章_第2页
第2页 / 共85页
EDA技术与VHDL设计 教学课件 ppt 作者 黄沛昱 第1-5章_ 第1章_第3页
第3页 / 共85页
EDA技术与VHDL设计 教学课件 ppt 作者 黄沛昱 第1-5章_ 第1章_第4页
第4页 / 共85页
EDA技术与VHDL设计 教学课件 ppt 作者 黄沛昱 第1-5章_ 第1章_第5页
第5页 / 共85页
点击查看更多>>
资源描述

《EDA技术与VHDL设计 教学课件 ppt 作者 黄沛昱 第1-5章_ 第1章》由会员分享,可在线阅读,更多相关《EDA技术与VHDL设计 教学课件 ppt 作者 黄沛昱 第1-5章_ 第1章(85页珍藏版)》请在金锄头文库上搜索。

1、,第 1 章 EDA技术概述,1.1 EDA技术及其发展 1.2 EDA设计流程 1.3 EDA设计方法 1.4 硬件描述语言 1.5 常用EDA工具 1.6 IP核与EDA技术的关系 习题,1.1 EDA技术及其发展 1.1.1 EDA技术的概念 电子技术是19世纪末发展起来的新兴技术,电子技术的发展与电子器件的发展息息相关。从1904年弗莱明发明第一只真空二极管,1906年德福雷斯特发明真空三极管,到1950年PN结型晶体管的出现,开辟了电子器件的新纪元,引起了一场电子技术的革命。,随着电子产品的日趋复杂,单个电子器件中需要的晶体管越来越多,对于上百万个晶体管,如何确保其可靠性并缩小体积、

2、减轻重量等成为电子产品发展中迫切需要进行的突破。这一突破的结果是集成电路的出现。1958年,杰克基尔比制成了第一块基于硅的集成电路板。集成电路在一小块半导体晶片上,将电路所需的成千上万的晶体管、二极管、电阻、电容及布线互连在一起。集成电路的出现使得电子器件向微小型化、高可靠性方面迈进了一大步。随着集成度的不断提高,大规模集成电路(LSI,Large Scale Integrated circuits)、超大规模集成电路(VLSI,Very Large Scale Integrated circuits)、特大规模集成电路(ULSI,Ultra Large Scale Integrated ci

3、rcuits),以及巨大规模集成电路(GSI,Giga Scale Integration circuits)相继出现,集成度平均每两年提高近3倍。,进入21世纪,电子技术发展的根基就是微电子技术的进步,它表现在大规模集成电路加工技术(即半导体工艺技术)的发展上。目前,表征半导体工艺水平的线宽已经达到22 nm。微电子技术和现代电子设计技术是相互促进、相互推动又相互制约的两个技术环节。微电子技术的进步意味着传统电子设计技术的不适应,要求现代先进的电子理论、电子技术、仿真技术、设计工艺等现代电子设计技术必须满足微电子技术的进步需求。电子设计自动化(EDA,Electronic Design Au

4、tomation)技术就是在电子技术快速发展的过程中产生的现代电子设计技术。,由于EDA技术发展迅速、内容丰富、涵盖范围广,目前对其并无统一的定义。在此,作者认为EDA技术的定义可分为广义和狭义两种。广义的EDA技术,是指以计算机为工作平台,融合了电子技术、计算机技术、信息处理技术等各种先进技术,可进行电子产品自动设计的技术。从该定义出发,电子电路设计、PCB(Printed Circuit Board,印制电路板)设计、IC(Integrated Circuit,集成电路)设计等均属于EDA技术范畴。,狭义的EDA技术,仅指以大规模可编程逻辑器件为硬件载体,以硬件描述语言(HDL,Hardw

5、are Description Language)为系统逻辑描述的表达形式,以相关EDA软件工具为开发环境,自动完成逻辑编译、逻辑化简、逻辑综合及优化、布局布线、仿真测试等多项功能,以及对特定目标芯片的适配编译、逻辑映射、编程下载等工作,直至最终实现特定的电子系统功能。本书讨论的所有对象仅指狭义EDA技术。总的来说,狭义EDA技术的定义包含以下几个主要内容:,(1) 大规模可编程逻辑器件(PLD,Programmable Logic Devices),即一种可由用户定义其具体实现逻辑功能的集成器件。目前的主流产品有现场可编程门阵列(FPGA,Field Programmable Gate Ar

6、ray)和复杂可编程逻辑器件(CPLD,Complex Programmable Logic Devices)两类,具体将在第2章中详细介绍。 (2) 硬件描述语言,即实现系统逻辑功能的具体表述形式,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式。目前常用的硬件描述语言有VHDL和Verilog。本书从第3章开始将详细讲述VHDL语言的语法结构以及应用。,(3) 相关EDA软件开发工具。EDA工具既有与EDA整个设计流程中某一个技术环节相对应的专用EDA工具(如著名的逻辑综合器Synplify和仿真器Modelsim),也有PLD生产厂商为方便用户所提供的集成开发环境(如Alt

7、era公司的Quartus和Xilinx公司的ISE-Web PACK Series)。本书的配套实验教材EDA技术与VHDL设计实验指导中将介绍Quartus和Modelsim的使用方法。当然,要实现一个完整的电子系统,还需要有相关外围电路,这里不做进一步的说明。,1.1.2 EDA技术的发展 EDA技术的发展可分为计算机辅助设计(CAD,Computer-Aided Design)、计算机辅助工程设计(CAE,Computer-Aided Engineering design)以及电子设计自动化(EDA)三个阶段。 20世纪70年代,是EDA技术发展的初期,设计者开始使用计算机辅助进行IC

8、版图的编辑、PCB布局布线等这些在产品设计过程中重复性很高的繁杂劳动,最具有代表性的产品是美国ACCEL公司开发的Tango布线软件。但由于当时软件工具受到计算机工作平台的制约,其支持的设计工作有限且性能也比较差。,20世纪80年代,伴随着计算机和集成电路的发展,EDA技术的发展进入到计算机辅助工程设计(CAE)阶段。这一阶段的EDA工具,除了具有图形绘制功能外,还增加了逻辑模拟、定时分析、故障仿真、自动布局布线等功能,主要目的是解决电路设计完成前的功能检测等问题。利用这些新增的功能,设计者能够在产品制作完成前就预知产品的功能与性能,能生成制造产品的相关文件,使设计阶段对产品性能的分析前进了一

9、大步。这一时期的EDA工具已经能够代替设计者的部分工作。,20世纪90年代,随着可编程逻辑器件的发展,设计者可以选择不同规模的PLD器件,通过对器件功能的设计,实现电子系统功能。这个阶段发展起来的EDA工具能够完成设计者从事的许多高层次的设计工作,如将用户需求转换为设计技术规范,有效地处理可用的设计资源与理想的设计目标之间的矛盾,按具体的硬件、软件和算法分解设计等。另一方面,硬件描述语言(HDL)的出现是这个阶段最重要的成果之一,它使得EDA的设计进入到抽象描述的设计层次,设计者可以在不熟悉具体电路结构的情况下,完成电子系统的设计。,各EDA设计公司都致力于推出兼容各种硬件实现方案、支持标准硬

10、件描述语言以及含有各种工艺标准元件库的EDA工具,有效地将EDA技术推向了成熟。由于电子技术和EDA工具的发展,设计者可以使用EDA工具在较短的时间内通过一些简单标准化的设计过程,利用厂商提供的设计库来完成系统的设计与验证。,1.2 EDA设计流程 1.2.1 FPGA/CPLD工程设计流程 大规模可编程逻辑器件(PLD)是EDA设计的硬件载体。PLD种类繁多,目前的主流器件是CPLD和FPGA。PLD器件的出现,其影响丝毫不亚于70年代单片机的发明和使用。PLD能够完成任何数字器件的功能,在速度、芯片容量和数字逻辑方面均优于单片机。FPGA/CPLD工程设计流程如图1-1所示。,图1-1 F

11、PGA/CPLD工程设计流程,1设计规范 设计者首先需要对产品的应用场合、功能、要求等进行考虑和分析,确定一些技术指标,如速度、面积、功耗等。,2设计输入 设计输入即用一定的逻辑表达方式将电路系统的设计表达出来。常用的表达方式有图形输入和文本输入,对应的EDA工具为图形编辑器和文本编辑器。 (1) 图形输入。图形输入形式通常包括原理图输入、状态图输入和波形图输入。 原理图输入形式是最常用的图形输入形式,类似于传统电子设计方法中电路原理图的绘制。原理图由逻辑器件和连线构成,其中逻辑器件既可以是EDA软件库中预定义的功能模块(如与门、或门、非门、触发器、74系列器件、加法器、乘法器等),也可以是自

12、定义的功能模块。,图1-2是在Quartus软件中绘制的电路原理图,其功能是采用74390和与门完成二十四进制计数器。原理图输入形式的优点在于简单、直观,不需要学习HDL,容易被初学者接受。但它也具有十分明显的缺点: 设计规模一旦增大,设计的易读性将迅速下降,面对复杂的电路连线,要搞清电路功能非常困难; 如果出现错误,查找错误和修改错误都十分困难; 更改电路功能和结构比较困难; 功能模块的不兼容导致设计的可移植性较差。,图1-2 以原理图输入形式设计的二十四进制计数器,状态图输入形式常用于状态机的设计,即将一个电路系统划分为有限个状态,确定不同状态间的转移条件以及输入、输出。可由EDA工具自动

13、将状态图转化为HDL代码。本书第6章将专门介绍状态机的设计方法。 波形图输入形式是将待设计的电路系统看成一个黑盒子,只需要告诉EDA工具电路系统输入、输出的时序波形,EDA工具就能完成电路的设计。,(2) 文本输入。文本输入就是利用硬件描述语言(HDL)进行电路系统的设计,常用的硬件描述语言主要有VHDL和Verilog HDL两种。这种方式和传统的计算机编程输入方式类似。应用HDL的文本输入形式克服了原理图输入形式的弊端。 在设计中,可以将原理图和HDL设计结合起来,实现高效、稳定、符合要求的设计。,3综合、优化 综合是将利用HDL、原理图等实现的软件设计转化为基本逻辑门、触发器、存储器等基

14、本逻辑单元的连接关系,即门级电路甚至更底层的电路结构描述文件的过程。如何将软件设计转化为硬件电路,就需要利用EDA工具中的综合器进行“翻译”。综合器类似于软件程序的编译器,但较编译器有更高级的功能。编译器也能够将高级语言翻译成基于某种特定CPU的机器代码,但这种代码仅限于这种CPU而不能移植,并且不能代表硬件结构。,另一方面,编译器的工作只是机械、单纯地将高级语言“一一对应”地翻译为机器代码;综合器则能够根据预先设置的各类约束条件(如时间约束、面积约束等,以及设计库和工艺库),能动地选择最优的方式将软件设计翻译为底层电路结构。这就是说,对于相同的设计表述,综合器可以综合出不同的电路结构,有的面

15、积小,但速度慢;有的速度快,但面积大。选择电路的实现方案正是综合器的任务,综合器能够尽最大努力选择一种满足各项约束条件且成本最低的实现方案,而且综合后产生的电路结构(被称为网表文件)不依赖于任何硬件环境,能够被移植到任何通用的硬件环境中。网表文件有多种格式,如EDIF、VHDL、VQM、Verilog等。,总的来说,整个综合过程就是将设计者在EDA工具中输入的HDL文本设计、原理图设计或状态图设计等,依据给定的硬件结构组件和约束条件进行编译、优化、转化和综合,最终获得门级电路甚至更底层的电路结构描述的网表文件。综合器既可以使用第三方EDA公司提供的专用综合器(如Synplicity公司提供的S

16、ynplify综合器),也可以使用FPGA/CPLD供应商提供的综合器(如Altera公司集成EDA软件工具Quartus中自带的Analysis &Synthesis模块)。,4布局布线/适配 通过综合后产生的电路结构网表文件,还需要与指定的目标器件进行逻辑映射,即将工程的逻辑和时序要求与目标器件的可用资源相匹配。布局布线/适配用来将每个逻辑功能分配给最合适的逻辑单元位置,进行布线和时序,并选择相应的互连路径和引脚分配,产生最终的下载文件。下载文件有多种格式,如 .sof、.pof、.hex、.jam等,具体将在第2章中讲述。因为需要与具体目标器件的硬件结构细节相对应,布局布线器/适配器一般由FPGA/CPLD供应商提供。,5仿真 在硬件验证前,最好使用EDA工具对设计进行模拟验证,即仿真。通过仿真,可以检查设计文件是否和预期结果一致,可以在设计的早期就排除错误,缩短设计周期和成本。仿真通过仿真器完成,既可以采用第三方EDA公司提供的专用仿真工具(如Mentor Graph

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号