EDA技术实用教程 第2版 教学课件 ppt 作者 李洋 第1章绪论部分

上传人:E**** 文档编号:89190056 上传时间:2019-05-21 格式:PPT 页数:58 大小:229.50KB
返回 下载 相关 举报
EDA技术实用教程 第2版 教学课件 ppt 作者 李洋 第1章绪论部分_第1页
第1页 / 共58页
EDA技术实用教程 第2版 教学课件 ppt 作者 李洋 第1章绪论部分_第2页
第2页 / 共58页
EDA技术实用教程 第2版 教学课件 ppt 作者 李洋 第1章绪论部分_第3页
第3页 / 共58页
EDA技术实用教程 第2版 教学课件 ppt 作者 李洋 第1章绪论部分_第4页
第4页 / 共58页
EDA技术实用教程 第2版 教学课件 ppt 作者 李洋 第1章绪论部分_第5页
第5页 / 共58页
点击查看更多>>
资源描述

《EDA技术实用教程 第2版 教学课件 ppt 作者 李洋 第1章绪论部分》由会员分享,可在线阅读,更多相关《EDA技术实用教程 第2版 教学课件 ppt 作者 李洋 第1章绪论部分(58页珍藏版)》请在金锄头文库上搜索。

1、1,EDA Electronic Design Automation 电子设计自动化,2,让我们共同致力于EDA技术的研究,让技术更好的支持教育和学习,让我们的研究充满思想,让我们的思想充满智慧,让我们用智慧进一步反思,3,第1章 EDA技术导论 第2章 电子工作平台(EWB)的使用 第3章 可编程逻辑器件 第4章 MAXPLUS软件 第5章 VHDL硬件描述语言 第6章 EDA技术综合应用设计举例,课程总体内容:,4,第1章 EDA技术导论 第2章 电子工作平台(EWB)的使用 第3章 可编程逻辑器件 第4章 MAXPLUS软件 第5章 VHDL硬件描述语言 第6章 EDA技术综合应用设计举

2、例,课程总体内容:,5,1.1 EDA技术的涵义 1.2 EDA技术的发展历程 1.3 EDA技术的知识体系 1.4 EDA技术的基本工具 1.5 EDA技术的基本设计思路 1.6 数字系统的设计 1.7 EDA技术的发展趋势,内容提要,第1章 EDA技术导论,6,1.1 EDA技术的涵义?,什么叫EDA技术?EDA技术,就是以大规模可编程逻辑器件(PLD)为设计载体,以硬件描述语言(HDL)为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优

3、化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。,第1章 EDA技术导论,7,利用EDA技术进行电子系统的设计,具有以下几个特点: 用软件的方式设计硬件; 用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的; 设计过程中可用有关软件进行各种仿真; 系统可现场编程,在线升级; 整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA技术是现代电子设计的发展趋势。,第1章 EDA技术导论,8,1.2 EDA技术的发展历程,EDA技术伴随着计算机、集成电路、电子系统设计的发展,经历了计算

4、机辅助设计(Computer Assist Design,简称CAD)、计算机辅助工程设计(Computer Assist Engineering Design,简称CAE)和电子设计自动化(Electronic Design Automation,简称EDA)三个发展阶段。,第1章 EDA技术导论,9,1. 20世纪70年代的计算机辅助设计CAD阶段 早期的电子系统硬件设计采用的是分立元件,随着集成电路的出现和应用,硬件设计进入到发展的初级阶段。初级阶段的硬件设计大量选用中小规模标准集成电路,人们将这些器件焊接在电路板上,做成初级电子系统,对电子系统的调试是在组装好的PCB(Printed

5、Circuit Board)板上进行的。,第1章 EDA技术导论,10,由于设计师对图形符号使用数量有限,传统的手工布图方法无法满足产品复杂性的要求,更不能满足工作效率的要求。这时,人们开始将产品设计过程中高度重复性的繁杂劳动,如布图布线工作,用二维图形编辑与分析的CAD工具替代,最具代表性的产品就是美国ACCEL公司开发的Tango布线软件。20世纪70年代,是EDA技术发展初期,由于PCB布图布线工具受到计算机工作平台的制约,其支持的设计工作有限且性能比较差。,第1章 EDA技术导论,11,2. 20世纪80年代的计算机辅助工程设计CAE阶段 初级阶段的硬件设计是用大量不同型号的标准芯片实

6、现电子系统设计的。随着微电子工艺的发展,相继出现了集成上万只晶体管的微处理器、集成几十万直到上百万储存单元的随机存储器和只读存储器。此外,支持定制单元电路设计的硅编辑、掩膜编程的门阵列,如标准单元的半定制设计方法以及可编程逻辑器件(PAL和GAL)等一系列微结构和微电子学的研究成果都为电子系统的设计提供了新天地。因此,可以用少数几种通用的标准芯片实现电子系统的设计。,第1章 EDA技术导论,12,伴随计算机和集成电路的发展,EDA技术进入到计算机辅助工程设计阶段。20世纪80年代初,推出的EDA工具则以逻辑模拟、定时分析、故障仿真、自动布局和布线为核心,重点解决电路设计没有完成之前的功能检测等

7、问题。利用这些工具,设计师能在产品制作之前预知产品的功能与性能,能生成产品制造文件,在设计阶段对产品性能的分析前进了一大步。,第1章 EDA技术导论,13,3. 20世纪90年代电子系统设计自动化EDA阶段 为了满足千差万别的系统用户提出的设计要求,最好的办法是由用户自己设计芯片,让他们把想设计的电路直接设计在自己的专用芯片上。微电子技术的发展,特别是可编程逻辑器件的发展,使得微电子厂家可以为用户提供各种规模的可编程逻辑器件,使设计者通过设计芯片实现电子系统功能。EDA工具的发展,又为设计师提供了全线EDA工具。由于电子技术和EDA工具的发展,设计师可以在不太长的时间内使用EDA工具,通过一些

8、简单标准化的设计过程,利用微电子厂家提供的设计库来完成数万门ASIC和集成系统的设计与验证。,第1章 EDA技术导论,14,20世纪90年代,设计师逐步从使用硬件转向设计硬件,从单个电子产品开发转向系统级电子产品开发(即片上系统集成,System on a chip)。因此,EDA工具是以系统机设计为核心,包括系统行为级描述与结构综合,系统仿真与测试验证,系统划分与指标分配,系统决策与文件生成等一整套的电子系统设计自动化工具。这时的EDA工具不仅具有电子系统设计的能力,而且能提供独立于工艺和厂家的系统级设计能力,具有高级抽象的设计构思手段。,第1章 EDA技术导论,15,未来的EDA技术将向广

9、度和深度两个方向发展,EDA将会超越电子设计的范畴进入其他领域,随着基于EDA的SOC(单片系统)设计技术的发展,软硬核功能库的建立,以及基于VHDL所谓自顶向下设计理念的确立,未来的电子系统的设计与规划将不再是电子工程师们的专利。有专家认为,21世纪将是EDA技术快速发展的时期,并且EDA技术将是对21世纪产生重大影响的十大技术之一。,第1章 EDA技术导论,16,1.3 EDA技术的知识体系,EDA技术涉及面广,内容丰富,从教学和实用的角度看,究竟应掌握些什么内容呢? 主要应掌握如下五个方面的内容: 大规模可编程逻辑器件; 硬件描述语言; 软件开发工具; 实验开发系统;印制电路板设计。 其

10、中,大规模可编程逻辑器件是利用EDA技术进行电子系统设计的载体,硬件描述语言是利用EDA技术进行电子系统设计的主要表达手段,软件开发工具是利用EDA技术进行电子系统设计的智能化的自动化设计工具,实验开发系统则是利用EDA技术进行电子系统设计的下载工具及硬件验证工具。利用PCB软件不仅能打印一份精美的原理图,而且能自动生成网络表文件,可支持印制电路的自动布线及电路仿真模拟。为了使读者对EDA技术有一个总体印象,下面对EDA技术的主要内容进行概要的介绍。,第1章 EDA技术导论,17,1. 大规模可编程逻辑器件 可编程逻辑器件(简称PLD)是一种由用户编程以实现某种逻辑功能的新型逻辑器件。FPGA

11、和CPLD分别是现场可编程门阵列和复杂可编程逻辑器件的简称,现在,FPGA和CPLD器件的应用已十分广泛,它们将随着EDA技术的发展而成为电子设计领域的重要角色。 国际上生产FPGA/CPLD的主流公司,并且在国内占有市场份额较大的主要是Xilinx,Altera,Lattice三家公司。,第1章 EDA技术导论,18,FPGA 在结构上主要分为三个部分,即可编程逻辑单元,可编程输入/输出单元和可编程连线三个部分。 CPLD在结构上主要包括三个部分,即可编程逻辑宏单元,可编程输入/输出单元和可编程内部连线。 高集成度、高速度和高可靠性是FPGA/CPLD最明显的特点,其时钟延时可小至ns级,结

12、合其并行工作方式,在超高速应用领域和实时测控方面有着非常广阔的应用前景。在高可靠应用领域,如果设计得当,将不会存在类似于MCU的复位不可靠和PC可能跑飞等问题。FPGA/CPLD的高可靠性还表现在几乎可将整个系统下载于同一芯片中,实现所谓片上系统,从而大大缩小了体积,易于管理和屏蔽。,第1章 EDA技术导论,19,由于FPGA/CPLD的集成规模非常大,可利用先进的EDA工具进行电子系统设计和产品开发。由于开发工具的通用性、设计语言的标准化以及设计过程几乎与所用器件的硬件结构没有关系,因而设计开发成功的各类逻辑功能块软件有很好的兼容性和可移植性。它几乎可用于任何型号和规模的FPGA/CPLD中

13、,从而使得产品设计效率大幅度提高。可以在很短时间内完成十分复杂的系统设计,这正是产品快速进入市场最宝贵的特征。美国IT公司认为,一个ASIC 80%的功能可用于IP核等现成逻辑合成。而未来大系统的FPGA/CPLD设计仅仅是各类再应用逻辑与IP核(Core)的拼装,其设计周期将更短。,第1章 EDA技术导论,20,与ASIC设计相比,FPGA/CPLD显著的优势是开发周期短、投资风险小、产品上市速度快、市场适应能力强和硬件升级回旋余地大,而且当产品定型和产量扩大后,可将在生产中达到充分检验的VHDL设计迅速实现ASIC投产。 对于一个开发项目,究竟是选择FPGA还是选择CPLD 呢? 主要看开

14、发项目本身的需要。对于普通规模,且产量不是很大的产品项目,通常使用CPLD比较好。对于大规模的逻辑设计ASIC设计,或单片系统设计,则多采用FPGA。另外,FPGA掉电后将丢失原有的逻辑信息,所以在实用中需要为FPGA芯片配置一个专用ROM。,第1章 EDA技术导论,21,2. 硬件描述语言(HDL) 常用的硬件描述语言有VHDL、Verilog、ABEL。 VHDL:作为IEEE的工业标准硬件描述语言,在电子工程领域,已成为事实上的通用硬件描述语言。 Verilog:支持的EDA工具较多,适用于RTL级和门电路级的描述,其综合过程较VHDL稍简单,但其在高级描述方面不如VHDL。 ABEL:

15、一种支持各种不同输入方式的HDL,被广泛用于各种可编程逻辑器件的逻辑功能设计,由于其语言描述的独立性,因而适用于各种不同规模的可编程器件的设计。 有专家认为,在新世纪中,VHDL与Verilog语言将承担几乎全部的数字系统设计任务。,第1章 EDA技术导论,22,3. 软件开发工具 目前比较流行的、主流厂家的EDA的软件工具有Altera的MAX+plus II、Lattice的ispEXPERT、Xilinx的Foundation Series。 (1) MAX+plus II:支持原理图、VHDL和Verilog语言文本文件,以及以波形与EDIF等格式的文件作为设计输入,并支持这些文件的任

16、意混合设计。它具有门级仿真器,可以进行功能仿真和时序仿真,能够产生精确的仿真结果。它界面友好,使用便捷,被誉为业界最易学易用的EDA的软件 ,并支持主流的第三方EDA工具,支持除APEX20K系列之外的所有Altera公司的FPGA/CPLD大规模逻辑器件。,第1章 EDA技术导论,23,(2) ispEXPERT:ispEXPERT System是ispEXPERT的主要集成环境。通过它可以进行VHDL、Verilog及ABEL语言的设计输入、综合、适配、仿真和在系统下载。ispEXPERT System是目前流行的EDA软件中最容量掌握的设计工具之一,它界面友好,操作方便,功能强大,并与第三方EDA工具兼容良好。 (3) Foundation Series:Xilinx公司最新集成开发的EDA工具。它采用自动化的、完整的集成设计环境。 Foundation项目管理器集成了Xilinx实现工具,并包含了强大的Synopsys FPGA Express综合系统,是业界最强大的EDA设计工具之一。,第1章

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 大学课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号