最新verilog幻灯片--第一讲-数字系统与fpga设计概述

上传人:F****n 文档编号:88148732 上传时间:2019-04-20 格式:PPT 页数:87 大小:1.49MB
返回 下载 相关 举报
最新verilog幻灯片--第一讲-数字系统与fpga设计概述_第1页
第1页 / 共87页
最新verilog幻灯片--第一讲-数字系统与fpga设计概述_第2页
第2页 / 共87页
最新verilog幻灯片--第一讲-数字系统与fpga设计概述_第3页
第3页 / 共87页
最新verilog幻灯片--第一讲-数字系统与fpga设计概述_第4页
第4页 / 共87页
最新verilog幻灯片--第一讲-数字系统与fpga设计概述_第5页
第5页 / 共87页
点击查看更多>>
资源描述

《最新verilog幻灯片--第一讲-数字系统与fpga设计概述》由会员分享,可在线阅读,更多相关《最新verilog幻灯片--第一讲-数字系统与fpga设计概述(87页珍藏版)》请在金锄头文库上搜索。

1、数字系统设计与FPGA应用,主讲教师:陈文艺 西安邮电学院,第 一讲 数字系统与FPGA设计概述,内容: 数字系统概述 数字逻辑设计基础器件和概念 可编程逻辑器件概述 可编程逻辑器件中的IP核概述 数字系统设计方法 FPGA设计流程 Verilog HDL概述,数字系统概述,常见数字系统:微处理机系统、数字信号处理系统、数字通信系统、数字编解码和加解密电路、数字多功能智能接口等。目前数字系统单片等效逻辑门总数达到几百甚至几千万门的已较常见。,存储器,数字系统的组成:数字系统通常由输入电路、输出电路、控制电路、数字处理电路和存储器组成 。,控制 电路,数字处理 电路,输入 电路,输出 电路,数字

2、系统实现方法,通用集成电路。 如:74 系列 ,4000系列等,电路体积大、重量大、功耗大、可靠性低。(70、80年代主流) 专用集成电路ASIC(Application Specific Integrated Circuits),如:Modem , MP3 decoder等。(90年代盛行,现在大规模产品中继续使用) 可编程逻辑阵列( Programmable Logic Device)。如:Xilinx的spartan系列,Altera的Cyclone系列。 (现在逐步蚕食ASIC的低端市场,并将成为一种主流方法) 通用微处理器、DSP 。如 8051单片机、ARM32位MCU,TMS32

3、0C5x系列DSP等。 (80年代,现在仍为一种主流方法) 混合使用各种器件,发挥各自的优势。(发展趋势),数字逻辑设计基础器件和概念,1 单元与层次 在数字逻辑设计中, 一般采用基本构造模块来组成数字系统。 通常将这种基本构造模块(无论简单还是复杂)称作单元(cell)。 基本单元是系统的基本构成模块。 通过对基本单元进行组合, 可以构成较大、 较复杂的A、 B、 C单元, 而A、 B、 C单元进一步用于构成更大的X单元和Y单元。这种设计方式可称作层次设计方法。,图 2-1 层次设计的级别,2 基本逻辑电路 任何复杂的数字系统从原理上而言, 最终都可以分解成基本的逻辑门和存储器元件。 1)

4、逻辑门 逻辑门是设计数字系统的基础。 最基本的逻辑门有与门、 或门、 非门三种, 由此导出的逻辑门有与非门、 或非门、 异或门等, 如与非门是由与门和非门结合起来的逻辑门, 或非门是由或门和非门结合起来的逻辑门。在输入输出和总线设计中还常用到三态门。 常用逻辑门的逻辑符号及真值表如图 所示。,图 2-2 常用门的表示,逻辑门相关基本概念,四值逻辑:逻辑值1、 逻辑值0、未知值X 、高阻值Z。 逻辑器件延时:0=1 上升延时, 1=0 下降延时, 0、1、x=Z 关断延时。惯性延时。 逻辑路径延时:逻辑器件间互连线的延时。传输延时。 逻辑器件扇出:定义逻辑器件输出连接的负载数目为扇出。如一个非门

5、的输出连接了三个逻辑门的输入,则称该非门的扇出为3。扇出越大,负载越大,等效传输延时越大。 逻辑器件负载模型: CMOS逻辑器件的负载可等效为RC电路,负载越多,电容越大。,逻辑门相关基本概念,组合逻辑的竞争:门电路多个输入信号同时向相反的逻辑电平跳转。 组合逻辑的冒险:竞争导致逻辑输出出现不正确的尖峰信号,称为“毛刺”。组合逻辑电路中有“毛刺”出现,就说明该电路存在“冒险”。,2) 触发器 我们把具有存储记忆一位二值信号功能的基本单元电路称为触发器。 根据触发器电路结构和功能的不同, 可以分为RS触发器、 JK触发器、 D触发器、 T触发器和T触发器等 在目前的数字系统同步电路设计中,一般只

6、使用D触发器。 在异步电路设计中用到RS触发器和其它触发器。,(a) 符号; (b) 功能特点 ;(c)建立、保持时间 “建立时间”定义为在时钟跳变前数据必须保持稳定(无跳变)的时间。 “保持时间” 定义为在时钟跳变后数据必须保持稳定的时间。,D触发器,D触发器的亚稳态(metastability)概念,当某个异步信号被送入一个同步触发器时,就可能发生亚稳态现象。如图所示,当输入D与时钟CLK1同时在亚稳态时间窗内翻转时,D触发器的输出可能出现一种不是1,也不是0的不确定中间状态,并可能维持一段时间,称亚稳态。,亚稳态时间窗,D触发器的亚稳态特性,亚稳态是触发器的固有特性,不可能消除,只能尽量

7、减小亚稳态的持续时间。一般器件供应商会提供MTBF (mean time between failures)和tMET数据供设计参考。 MTBF:两次亚稳态出现的统计平均间隔时间。 tMET:亚稳态持续的统计平均时间。 祥见AN042:ALTERA器件的亚稳态问题 设计中尽量避免出现亚稳态问题,采用同步设计是目前最好的办法。在异步电路与同步电路接口处常用同步器处理,在异步电路设计中要专门处理。,D触发器的亚稳态参数,MTBF:两次亚稳态出现的统计平均间隔时间,tMET:亚稳态持续的统计平均时间。,常用异步信号与同步电路接口的同步器电路,可编程逻辑实验数字系统,当今社会是数字化社会, 数字集成电

8、路应用非常广泛, 其发展从电子管、 晶体管、SSI、 MSI、 LSI、VLSI到ULSI, 其规模几乎平均每18个月翻一番(摩尔定律)。 ASIC 是专门为某一应用领域或某一专门用户需要而设计制造的集成电路。特点:量产成本低,但开发周期长,投入大,风险大,特别是到0.18微米后,投片费大增。 可编程逻辑器件PLD(Programmable Logic Device)是从可编程逻辑阵列和ASIC中发展出来的新器件。特点:开发周期短,设计修改灵活,无投片费,量产成本较高。,可编程逻辑器件的发展概况,ASIC的分类,标准单元ASIC,门阵列ASIC,可编程逻辑器件(PLD),可编程门阵列FPGA

9、(Field programmable gate array),可编程逻辑器件的分类,从用户可编程角度分类:,可编程逻辑器件的基本结构 1,PROM阵列结构图,用PROM阵列实现组合逻辑电路,GAL的阵列结构图,GAL22V10的OLMC,输出增加D触发器,可编程逻辑器件的基本结构 2,查找表(Look Up Table)实际上是用静态存储器 (SRAM)构成函数发生器。,逻辑阵列块(LAB): LAB由16个宏单元阵列组成。 宏单元: 器件的宏单元可以单独配置成时序逻辑或者组合逻辑工作方式。 I/O控制块:输入输出控制单元是内部信号到I/O引脚的接口部分, 可控制I/O引脚单独地配置为输入、

10、 输出或双向工作方式。 可编程连线阵列(PIA):通过可编程PIA可把器件中任一信号源连接到其目的地, 所有MAX7000A的专用输入、 I/O引脚和宏单元输出均馈送到PIA, PIA可把这些信号送到器件内的各个地方。,CPLD的基本结构(以MAX7000A为例),Altera的MAX7000A系列器件基本结构,MAX7000A的宏单元,MAX7000A的PIA结构,MAX7000A的I/O控制块,FPGA是由ASIC的门阵列(Gate Array)发展出来的。 按逻辑功能块的大小分类, FPGA可分为细粒度FPGA和粗粒度FPGA。 细粒度FPGA的逻辑功能块较小, 资源可以充分利用, 但连

11、线和开关多,速度慢。(ACTEL) 粗粒度FPGA的逻辑功能块规模大, 功能强, 但资源不能充分利用。(XILINX, ALTERA) 根据编程方式, FPGA可分为一次编程型(PROM)和可重复编程型(SRAM,FLASH)两类。,FPGA的分类,FPGA的基本结构,XC4000的CLB基本结构,XC4000的IOB基本结构,XC4000的单长度线和双长度线结构,FPGA的发展趋势,先进的工艺:FPGA由于自身的特点总是采用最先进的工艺,目前Xilinx的65纳米产品已经问世。等效系统门超过1000万门,时钟超过500MHz,器件价格低廉。 嵌入处理器内核:如Altera公司的FPGA嵌入D

12、SP core、ARM7处理器,提供NIOS软处理器;Xilinx公司的FPGA嵌入Power PC450处理器,DSP Block,Micro Blaze软处理器等。FPGA内部嵌入处理器,使FPGA具备了实现软硬件联合系统的能力,并逐步成为SOC(system on chip)的高效设计平台。 硬核与结构化ASIC:如何使FPGA和ASIC扬长避短?一是在FPGA中嵌入硬核(ASIC模块);另一个是在ASIC中嵌入部分可编程的资源,或将FPGA直接转化为ASIC,称结构化ASIC。,内嵌功能模块,全局时钟、复位线 内嵌静态存储器 PLL 乘法器 MCU 专用IO,数字系统设计方法,自顶向下

13、(TopDown)设计方法:是一种概念驱动的设计法。该方法要求在整个设计过程中尽量运用行为描述去定义设计对象,而不要过早考虑实现设计对象的具体电路、元器件和工艺,以便抓住主要矛盾,避开具体细节。只有当整个设计在行为上得到验证与优化后,才考虑具体实现问题。 自底向上(BottomUp)设计方法:与自顶向下设计正好相反,首先要根据系统的设计要求,从现有可用的元件中选择合适的元件,设计成一个个部件,当一个部件不能直接实现系统的某个功能时,需要由多个部件组合去实现,直到满足系统全部要求为止。,自顶向下(TopDown)设计方法,特点: 整个设计在系统级和模块级上都进行功能仿真,系统级的问题在系统级解决

14、,模块级问题在模块级解决。系统的复杂性得到有效控制,便于系统优化。 设计的主要工作与具体物理实现无关,便于移植到不同的工艺和制造商实现。 由于是整体设计,不便于设计重用。,自底向上(BottomUp)设计方法,特点: 可以继承使用经过验证的、成熟的器件或部件,从而实现设计的重用。 不足是设计人员的设计受限于现成可用的元件,不能实现系统的优化设计。,混合设计(TD&BU)方法,近代的数字系统设计中,为实现设计的重用,提高设计效率和质量,通常采用以自顶向下设计方法为主导,结合使用自底向上设计方法的混合设计方法。这种设计方法既能保证实现系统优化的、清晰易懂和便于移植的设计,又可重用已有的设计,减少重

15、复设计。 上述数字系统的设计方法,从方法学上与大型软件的设计方法是一致的。在学习硬件设计的方法时,不妨与软件设计方法相对照,发现相似和不同点,从而加深对设计方法的理解。,现代数字系统设计的综合、仿真与设计验证方法,可编程逻辑器件设计流程,1. 设计准备 在PLD系统设计之前, 首先要完成方案论证、 系统设计和器件选择等准备工作。 设计人员根据任务要求, 如系统的功能和复杂度, 对工作速度和器件本身的资源、 成本及连线的可布性等方面进行权衡, 选择合适的设计方案和合适的器件类型。 2. 设计输入 设计人员将所设计的系统或电路以EDA软件要求的某种形式表示出来, 并送入计算机的过程称为设计输入。

16、设计输入通常有以下几种形式。,1) 原理图输入方式 原理图输入方式是一种最直接的设计描述方式, 要设计什么, 就从软件系统提供的元件库中调出来, 画出原理图。 这种方式要求设计人员有丰富的电路知识及对PLD的结构比较熟悉。 主要优点是电路熟悉便于信号的观察和电路的调整; 缺点是效率低, 特别是产品有所改动, 需要选用另外一个公司的PLD器件时, 就需要重新输入原理图。,采用原理图设计三人表决器,逻辑方程: L2=SW1SW2+SW1SW3+SW2SW3; L1= L2;,2) 硬件描述语言输入方式 硬件描述语言是用文本方式描述设计,它分为特殊硬件描述语言和标准HDL描述语言。 标准HDL描述语言是目前常用的高层硬件描述语言, 主要有VHDL和Verilog HDL两个IEEE标准。 其突出优点有: 语言与工艺的无关性,用不着对底层的电路和PLD结构的熟悉,可以使设计人员在系统设计、逻辑验证阶段便确立方案的可行性。 HDL描述语言具有分级描述能力。可以从晶体管级、门级

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > PPT模板库 > PPT素材/模板

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号