基于CPLD-FPGA的音乐播放器

上传人:H*** 文档编号:812971 上传时间:2017-05-15 格式:DOC 页数:16 大小:1.77MB
返回 下载 相关 举报
基于CPLD-FPGA的音乐播放器_第1页
第1页 / 共16页
基于CPLD-FPGA的音乐播放器_第2页
第2页 / 共16页
基于CPLD-FPGA的音乐播放器_第3页
第3页 / 共16页
基于CPLD-FPGA的音乐播放器_第4页
第4页 / 共16页
基于CPLD-FPGA的音乐播放器_第5页
第5页 / 共16页
点击查看更多>>
资源描述

《基于CPLD-FPGA的音乐播放器》由会员分享,可在线阅读,更多相关《基于CPLD-FPGA的音乐播放器(16页珍藏版)》请在金锄头文库上搜索。

1、科信学院电子应用系统( 三级项目)设计说明书(2015/2016 学年第二学期)题 目 : 基于 CPLD/FPGA的音乐播放器 _ _ 专业班级 : 电子信息工程 13 级 1 班 学 号: 130072115 学生姓名 : 张雨馨 指导教师 : 贾东立、李丽宏、马永强、董克俭 设计周数 : 3 周 设计成绩 : 2016 年 7 月 8 日1目录1.概述.21.1 课题研究背景.21.2 课题研究意义.21.3 关于 VHDL.21.3 课题目的.22.总体设计.32.1 设计题目.32.2 设计任务.32.3 设计要求.32.4 设计方案.32.5 系统设计框图.43.系统硬件设计.43

2、.1 原理图.43.2 音乐演奏电路原理.53.3 音调的控制音符频率的获得.53.4 乐曲节奏的控制音长的控制.63.5PCB 板图.64.系统设计说明 .74.1 流程图.74.2 程序代码.75 电路运行测试.125.1 测试过程.125.2 运行效果图.136.课程设计遇到的问题.137.总结.138.参考文献.1421.概述1.1 课题研究背景随着科学技术的进步,电子器件和电子系统设计方法日新月异,电子设计自动化技术正是适应了现代电子产品设计的要求,吸收了多学科最新成果,而形成的一门新技术。现如今,掌握 EDA 技术是电子信息类专业的学生工程技术人员所必备的基本能力和技能。顺应电子技

3、术的发展趋势,可编程逻辑器件和 eda 技术使设计方法发生了质的变化。应用 EDA 开发平台,采用可编程逻辑器件 CPLD/FPGA 使硬件的功能可通过编程来实现,这种新的基于芯片的设计方法能够设计者有更多机会充分发挥创造性思维,实现多种复杂数字逻辑系统的功能,将原来由电路板完成的工作放到芯片的设计中进行,减少了连线和体积,提高了集成度,降低了干扰,大大减轻了电路设计 PCB 设计的工作量和难度,增强了设计的灵活性,有效地提高了工作效率,增加了系统的可靠性和稳定性,提高了技术指标。传统电子电路的设计,首先要对系统进行分析,然后按功能对系统进行划分,接下来就要选定特定芯片,焊接成 pcb 电路板

4、,最后对成本 pcb 电路板进行调。这样的设计没有灵活性可言,达成的系统需要的芯片种类多且数目大,而且对于电路图的设计和电路板的设计都需要很大的工作量,工作难度也很高。然而,随着可编程器件和 eda 技术的发展,传统设计的劣势被克服,采用可编程逻辑器件基于芯片的设计方法,其间的内部逻辑和引脚可以由设计者自行决定,大大提高了设计的灵活性,工作效率,将系统集成在一个芯片上的设计,使系统具有体积。1.2 课题研究意义各种电子产品迅速地进入了我们的生活,我们处在一个被电子产品身处包围的时代,在一个普通老百姓的家里,衣食住行,每一个产品的诞生都离不开EDA 技术, 从彩色电视机到智能冰箱,到全自动洗衣机

5、,电饭煲到微波炉,电磁炉,电子琴,再到个人随身用的手机,mp3 音乐播放器,都需要 EDA 技术提供支持。1.3 关于 VHDLVHDL 是一种硬件描述语言,所以对电子电路和系统的行为进行描述,基于这种描述,结合相关的软件工具,可以得到所期望的实际电路与系统。VHDL 是非常高速集成电路硬件描述语言, 是可以描述硬件电路的功能、信号连接问题关系及定时关系的语言,它能比电路原理图有效的表达硬件电路的3特性。使用 VHDL 语言,可以借鉴,自上至下的将设计内容细化,最后完成系统硬件的整体设计。使用,VHDL 语言描述的电路,可以进行综合和仿真,然而,值得注意的是,尽管所有 VHDL 代码都是可以仿

6、真的,但并不是所有的代码都能综合。VHDL 被广泛使用的基本原因在于它是一种标准语言,是与工具和工艺无关的,从而可以方便的进行移植和重用。VHDL 两个最直接的应用领域是可编程逻辑器件和专用集成电路,其中可编程逻辑器件包括复杂可编程逻辑器件和现场可编程门阵列。关于 VHDL 最后要说明的是: 与常规的顺序执行的计算机程序不同,VHDL从根本上讲是并发执行的。VHDL 中,只有在进程, ,函数和过程内部的语句才是,顺序执行的。1.4 课题目的本设计是一个音乐播放器。设计目的是为了学习利用可编程逻辑器件CPLD/FPGA,来设计电子应用系统。学习和巩固 VHDL 知识,使对已学过的基础知识能有更深

7、入的理解,学习 QUARTUS II 工具软件的应用,学会独立思考、独立思考、独立工作,以及提高对所学应用基本理论分析和解决实际问题的能力。2.总体设计2.1 设计题目基于 CPLD/FPGA 的音乐播放器2.2 设计任务1)根据技术要求和现有开发环境,分析设计题目。2)设计系统实现方案。3)设计并绘制原理图。4)画出功能模块的程序流程图。5)编写实现程序。6)结合硬件调试、修改并完善程序。7)编写课程设计报告。2.3 设计要求利用开发板结合外围设计电路,实现基于, CPLDFPGA 的音乐播放器设计,4并通过数码管显示声、光报警。2.4 设计方案1)使用 Quartus II 建立工程。2)

8、用 VHDL 语言编译、改正。3)分配引脚,重新编译。4)下载,运行、修改。2.5 系统设计框图系统设计框图如图 1 所示:图 1-系统设计框图3.硬件设计3.1 原理图原理图如图 2 所示:晶振键盘按钮MAX IIEPM240T100蜂鸣器数码管5D30LE12467R.K9VCQPNUBI/O8S-GJinoutM入FW TA+X_abcdefg图 2-原理图3.2 音乐演奏电路原理先介绍一下硬件电路的发生原理,声音的频率范围约在几十到几千赫兹,若能利用程序来控制 FPGA 这某个引脚输出一定频率的矩形波,接上扬声器就能发出相应频率的声音。乐曲中的每一音符对应着一个确定的频率,要想 FPG

9、A 发出不同音符的音调, 实际上,只要控制他输出相应音符的频率即可。乐曲都是由一连串的音符组成,因此按照乐曲的乐谱依次输出这些音符所对应的频,就可以在扬声器上连续的发出各个声音的音调。而要准确地演奏出一首乐曲,仅仅是扬声器能够发声是不够的,还必须准确地控制乐曲的节奏,既乐曲中每个音符的发声频率及其持续时间是乐曲能够连续演奏的两个关键因素。3.3 音调的控制音符频率的获得频率的高低决定了音调的高低,计算出简谱中从低音一到高音一之间每个音名对应的频率,所有不同频率的信号都是从同一个基准频率分频得到的。多个不同频率的信号可通过对某个基准频率进行分频器获得。由于各个音符的频率多为非整数而分频系数又不能

10、为小数,故必须将计算机得到的分频系数,四舍五入取整。若基准频率过低,则分频系数过小,四舍五入取整后的误差较大。若基准频率过高,虽然可以减少频率的相对误差,但分频结构将变大。实际上应用综6合考虑这两个方面的因素在尽量减少误差的前提下,选取合适的基准频率。因此,要想 FPGA 发出不同音符的音调,实际上只要控制它输出相应音符的频率即可。3.4 乐曲节奏的控制音长的控制音符的持续时间需要根据乐曲的速度及每个音符的节拍数来确定。因此,在想控制音符的音长,就必须知道乐曲的速度和每个音符所对应的节拍数,在这个设计中所播放的乐曲的最短的音符为四分音符,如果将全音符的持续时间设为 1 秒钟的话,那么一拍所应该

11、持续的时间为 0.25 秒,则只需要在提供一个四赫兹的时间频率即可产生四分音符的时长。要想让系统知道现在应该播放哪个音符,而这个音符持续的时间应该是多少?就必须编写乐曲文件,在乐曲文件中音符是按地址存放的,当系统工作时就按四赫兹的频率依次读取简谱,当系统读到某个音符的简谱时就对应发这个音符的音调,持续时间为 0.25 秒,而如果在曲谱文件中这个音符为三拍音长,只要将该音符连续书写 3 遍,这时系统独院取文件的时候就会连续读到 3 次,也就会发 3 个 0.25 秒的音长,这时我们听上去就会持续了三排时间,通过这样一个简单的操作就可以控制音乐的音长了。3.5PCB 板图PCB 板图如图 3 所示:7图 3-PCB 板图4.系统设计说明 4.1 流程图流程图如图 4 所示:图 4-流程图4.2 程序代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;PACKAGE MYPACKAGE

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号