组成原理设计报告内容指导

上传人:宝路 文档编号:7903805 上传时间:2017-09-24 格式:DOC 页数:9 大小:314.56KB
返回 下载 相关 举报
组成原理设计报告内容指导_第1页
第1页 / 共9页
组成原理设计报告内容指导_第2页
第2页 / 共9页
组成原理设计报告内容指导_第3页
第3页 / 共9页
组成原理设计报告内容指导_第4页
第4页 / 共9页
组成原理设计报告内容指导_第5页
第5页 / 共9页
点击查看更多>>
资源描述

《组成原理设计报告内容指导》由会员分享,可在线阅读,更多相关《组成原理设计报告内容指导(9页珍藏版)》请在金锄头文库上搜索。

1、1【课程设计内容】题目:指令系统及指令译码器设计设计内容如下:1、 指令系统设计:11、22、33、56 号指令编号 指令 机器码 1 机器码 2 注 释11 ADDC A, EM 1000 EM 将存储器 EM 地址的值加入累加器 A 中,带进位22 AND A, R? 10101 累加器 A“与”间址存储器的值33 MOV R? A 100000 将累加器 A 中的值送入 R?中56 RLC A 110111 累加器 A 带进位左移2、 模型机硬件设计:指令译码器3、 逻辑电路设计:正逻辑的 SN74181 芯片【系统设计】1、 模型机逻辑框图图 1 整机逻辑框图2图 2 芯片引脚逻辑框图

2、图 3 CPU 逻辑框图2、 指令系统设计11 ADDC A, EM类型:算术运算指令寻址方式:存储器直接寻址3功能:将存储器 EM 地址的值加入累加器 A 中,带进位22 AND A, R?类型:逻辑运算指令寻址方式:寄存器间接寻址功能:累加器 A“与”间址存储器的值33 MOV R? A类型:数据传送类指令寻址方式:寄存器间接寻址功能:将累加器 A 中的值送入 R?中56 RLC A类型:逻辑操作类指令功能:累加器 A 带进位左移3、 微操作控制信号1、XRD : 外部设备读信号,当给出了外设的地址后,输出此信号,从指定外设读数据。2、EMWR: 程序存储器 EM 写信号。3、EMRD:

3、程序存储器 EM 读信号。4、PCOE: 将程序计数器 PC 的值送到地址总线 ABUS 上(MAR)。5、EMEN: 将程序存储器 EM 与数据总线 DBUS 接通,由 EMWR 和 EMRD 决定是将 DBUS 数据写到 EM 中,还是从 EM 读出数据送到 DBUS。6、IREN: 将程序存储器 EM 读出的数据打入指令寄存器 IR。7、EINT: 中断返回时清除中断响应和中断请求标志,便于下次中断。8、ELP: PC 打入允许,与指令寄存器 IR3、IR2 位结合,控制程序跳转。9、FSTC:进位置 1,CY=110、 FCLC:进位置 0,CY=011、MAREN:将地址总线 ABU

4、S 上的地址打入地址寄存器 MAR。12、MAROE:将地址寄存器 MAR 的值送到地址总线 ABUS 上。13、OUTEN:将数据总线 DBUS 上数据送到输出端口寄存器 OUT 里。14、STEN: 将数据总线 DBUS 上数据存入堆栈寄存器 ST 中。15、 RRD: 读寄存器组 R0-R3,寄存器 R?的选择由指令的最低两位决定。16、 RWR: 写寄存器组 R0-R3,寄存器 R?的选择由指令的最低两位决定。17、 CN: 决定运算器是否带进位移位,CN=1 带进位,CN=0 不带进位。418、 FEN: 将标志位存入 ALU 内部的标志寄存器。19、 WEN: 将数据总线 DBUS

5、 的值打入工作寄存器 W 中。20、 AEN: 将数据总线 DBUS 的值打入累加器 A 中。21-23: X2 X0 : X2、X1、X0 三位组合来译码选择将数据送到 DBUS 上的寄存器。 24-26: S2 S0 : S2、S1、S0 三位组合决定 ALU 做何种运算。4、 指令执行流程5、 编号 助记符节拍数 微操作 控制信号 涉及的硬件T7 PCMAR PCOE MARENT6 EM WPC + 1 EMEN EMRD WENT5 WMAR WEN WARENT4 EM W EMEN EMRD WEN11 ADDC A, EMT3 A+WDBUS A S2 S0 AEN FENPC

6、, MAR, EM, W, A,ALU, FT5 R? MAR WEN MARENT4 EM W EMEN EMRD WEN22 AND A, R?T3 A AND WDBUSA S2 S0 AEN FENR, DBUS, MAR, EM, W,A ALU,F33 MOV R?, A T3 ADBUS R? AEN A, DBUS,AT4 LDBUSW FEN,X=110 WEN56 RLC AT3 WDBUSA AENR, DBUS, W, A,F CN取指周期55、组合逻辑控制器设计:假设该模型机采用组合逻辑控制器,根据指令执行流程,划分机器周期及节拍,安排操作时序表,写出每个控制信号的逻

7、辑表达式(可以只针对“设计内容 1”分配给你的指令去设计,不必考虑其他指令,但操作码、控制信号等必须遵循模型机已设定的)6、微程序控制器设计:假设该模型机采用微程序控制器,根据指令执行流程,安排微指令格式,为每条微指令安排微地址及下址,设计微地址修改逻辑。假设微指令采用水平格式,操作控制字段采用直接表示法,后继微地址采用断点方式获得,采用 T1、T2 两级时序系统, T1 取微指令,T2 执行微指令并在此周期修改微地址形成后继微地址。 (可以只针对“设计内容 1”分配给你的指令去设计,不必考虑其它指令,但操作码、控制信号等必须遵循模型机已设定的,模型机共有 64 条指令,取微地址位数时要估计全

8、部指令的所有微指令个数)【系统实现】1、 模型机实现(微操作控制信号实现的 VHDL 描述,只写本人题目要求的指令及其所涉及的控制信号,内容 2 要求的逻辑电路的图形符号表示、功能、及其 VHDL 描述) (参见模型机设计部分.doc )(1)逻辑电路的图形符号表示、功能(写上你的设计)(2)指令所涉及的微操作控制信号(写上你的设计)(3)系统实现(填下面 VHDL 程序)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CPU2013 ISGENERIC(ALL_ZERO:STD_L

9、OGIC_VECTOR(7 DOWNTO 0) := 00000000; INT_ENTER: STD_LOGIC_VECTOR(7 DOWNTO 0) := 11100000;INT_CODE : STD_LOGIC_VECTOR(7 DOWNTO 0) := 10111000;DataWidth: integer :=7;八位机-ALL_ZERO : STD_LOGIC_VECTOR(15 DOWNTO 0) := 0000000000000000; - 十六位机-INT_ENTER: STD_LOGIC_VECTOR(15 DOWNTO 0) := 0000000011100000;-I

10、NT_CODE : STD_LOGIC_VECTOR(15 DOWNTO 0) := 0000000010111000;-DataWidth: integer := 16);PORT (CPU 引脚描述clk : IN STD_LOGIC; - 主时钟输入rst : IN STD_LOGIC; - 复位输入keyin : IN STD_LOGIC_VECTOR(DataWidth-1 DOWNTO 0); - 键输入portout : OUT STD_LOGIC_VECTOR(DataWidth-1 DOWNTO 0); - 端口输出6mem_d : INOUT STD_LOGIC_VECTO

11、R(DataWidth-1 DOWNTO 0); - 存贮器数据线mem_a : OUT STD_LOGIC_VECTOR(DataWidth-1 DOWNTO 0); - 存贮器地址线mem_ah : OUT STD_LOGIC_VECTOR(15 DOWNTO 8); - 八位机时,高 8 位地址为 0mem_rd : OUT STD_LOGIC; - 存贮器读信号mem_wr : OUT STD_LOGIC; - 存贮器写信号mem_bh : OUT STD_LOGIC; - 存贮器高 8 位选择信号mem_bl : OUT STD_LOGIC; - 存贮器低 8 位选择信号mem_cs

12、 : OUT STD_LOGIC; - 存贮器片选信号 i_req : IN STD_LOGIC - 中断请求信号);END CPU2013;ARCHITECTURE behavior OF CPU2013 IS-模型机组成部件及信号定义,下面给出了部件及信号的部分定义,在“”处写上其他的定义,参见硬件课程设计讲义.PPT中“CPU 逻辑框图”等内容- 寄存器定义SIGNAL A : STD_LOGIC_VECTOR(DataWidth-1 DOWNTO 0);SIGNAL W : STD_LOGIC_VECTOR(DataWidth-1 DOWNTO 0);- 标志定义SIGNAL R_CY

13、: STD_LOGIC; - 进位标志寄存器SIGNAL R_Z : STD_LOGIC; - 零标志寄存器- 中断定义SIGNAL R_REQ: STD_LOGIC; - 中断请求寄存器- 26 位微控制信号SIGNAL S0 : STD_LOGIC;SIGNAL S1 : STD_LOGIC;SIGNAL S2 : STD_LOGIC; - 运算器功能选择SIGNAL AEN : STD_LOGIC; - A 写允许(将剩余的 10 个微控制信号的定义写在此处)SIGNAL STEN : STD_LOGIC; - ST 寄存器写允许SIGNAL OUTEN: STD_LOGIC; - OU

14、T 寄存器写允许SIGNAL MAROE: STD_LOGIC; - MAR 寄存器地址输出允许SIGNAL MAREN: STD_LOGIC; - MAR 寄存器写允许SIGNAL ELP : STD_LOGIC; - PC 寄存器写允许SIGNAL EINT : STD_LOGIC; - 中断结束SIGNAL IREN : STD_LOGIC; - IR 寄存器写允许SIGNAL EMEN : STD_LOGIC; - EM 存贮器与数据总线(D_BUS)相通控制位7SIGNAL PCOE : STD_LOGIC; - PC 寄存器地址输出允许SIGNAL EMRD : STD_LOGIC; - 主存贮器读允许SIGNAL EMWR : STD_LOGIC; - 主存贮器写允许SIGNAL XRD : STD_LOGIC; - 外部 I/O 读允许- ALU 运算器定义SIGNAL T : STD_LOGIC_VECTOR(DataWidth DOWNTO 0); - 运算结果- 总线定义SIGNAL D_BUS: STD_LOGIC_VECTOR(DataWidth-1 DOWNTO 0); - 数据总线- 指令周期SIGNAL RT: STD_LOGIC_VECTOR(2 DOWNTO 0); - 当前节拍SIGNAL C

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号