vhdl8x8点阵的显示

上传人:小** 文档编号:58052967 上传时间:2018-10-26 格式:DOC 页数:5 大小:107KB
返回 下载 相关 举报
vhdl8x8点阵的显示_第1页
第1页 / 共5页
vhdl8x8点阵的显示_第2页
第2页 / 共5页
vhdl8x8点阵的显示_第3页
第3页 / 共5页
vhdl8x8点阵的显示_第4页
第4页 / 共5页
vhdl8x8点阵的显示_第5页
第5页 / 共5页
亲,该文档总共5页,全部预览完了,如果喜欢就下载吧!
资源描述

《vhdl8x8点阵的显示》由会员分享,可在线阅读,更多相关《vhdl8x8点阵的显示(5页珍藏版)》请在金锄头文库上搜索。

1、8*8 点阵的显示点阵的显示一、一、 实验目的实验目的通过用 VHDL 语言设计 8*8 点阵的显示,掌握时序电路的设计,熟悉汉字字符 显示的原理。二、二、 实验原理实验原理利用多个数字 LED 显示器可以显示多位数字。三、三、 实验内容实验内容用 VHDL 语言设计 8*8 点阵的显示,并进行编译、波形仿真及器件编程。 仿真图如下,代码见附录图表 1 8*8 点阵的显示功能仿真图附录附录代码一、library ieee; use ieee.std_logic_1164.all;use ieee.std_logic_arith.all; entity dianzhen8 is port (cl

2、k:in std_logic;reset:in std_logic;hang:out std_logic_vector(7 downto 0);lie:out std_logic_vector(7 downto 0); end dianzhen8; architecture zhang of dianzhen8 is signal clk8:std_logic; begin process(clk,reset) variable cnt:integer range 0 to 3; variable lie8:std_logic_vector (7 downto 0); begin if res

3、et=1then lie8:=“10000000“; elsif clkevent and clk=1then if cnt=3 then clk8 smg7:=X“00“;WHEN 1 = smg7:=X“7c“;WHEN 2 = smg7:=X“54“;WHEN 3 = smg7:=X“fe“;WHEN 4 = smg7:=X“55“;WHEN 5 = smg7:=X“7d“;WHEN 6 = smg7:=X“03“;WHEN 7 = smg7:=X“00“;END CASE;RETURN smg7;END word; variable cnt : integer range 0 to 63; begin if clk_1kevent and clk_1k=1 then p b b b b b b b b=“01111111“;l=word(c+7); end case; end process;-process(clk_1h) -variable cnt : integer range 0 to 7; -begin -if clk_1hevent and clk_1h=1 then -c=c+1;-地址加 -end if;-end process; end arch;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 管理学资料

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号