阵列除法器设计与实现

上传人:第*** 文档编号:57173163 上传时间:2018-10-19 格式:DOC 页数:16 大小:258KB
返回 下载 相关 举报
阵列除法器设计与实现_第1页
第1页 / 共16页
阵列除法器设计与实现_第2页
第2页 / 共16页
阵列除法器设计与实现_第3页
第3页 / 共16页
阵列除法器设计与实现_第4页
第4页 / 共16页
阵列除法器设计与实现_第5页
第5页 / 共16页
点击查看更多>>
资源描述

《阵列除法器设计与实现》由会员分享,可在线阅读,更多相关《阵列除法器设计与实现(16页珍藏版)》请在金锄头文库上搜索。

1、课课 程程 设设 计计 报报 告告课程设计名称:计算机组成原理课程设计计算机组成原理课程设计课程设计题目:阵列除法器设计与实现阵列除法器设计与实现院(系): 计算机学院专 业: 班 级:学 号:姓 名: 指导教师:完成日期:2016年1月12日 目目 录录第第 1 章章 总体设计方案总体设计方案.11.1 设计原理.1 1.2 设计思路.3 1.3 设计环境3第第 2 章章 详细设计方案详细设计方案.52.1 功能模块的设计与实现.5 2.1.1 细胞模块的设计与实现.5 2.1.2 除法器模块的设计与实现.7 2.2 仿真调试9参考文献参考文献.11附附 录(电路原理图)录(电路原理图).1

2、2第 1 章 总体设计方案1.1 设计原理设计原理在原码除法中,原码除法符号位是单独处理的,商符由两数符号位进行异或运算求得,商值由两数绝对值相除求得。原码除法中由于对余数的处理不同,又可分为恢复余数法和不恢复余数法(加减交替法) 。在机器操作中通常采用加减交替法,因为加减交替法机器除法时间短,操作规则。加减交替法的运算规则如下:(1)当余数为正时,上商 1,余数左移一位后减去除数得下一位余数。(2)当余数为负时,上商 0,余数左移一位后加上除数得下一位余数。阵列除法器是一种并行运算部件,采用大规模集成电路制造,与早期的串行除法器相比,阵列除法器不仅所需的控制线路少,而且能提供令人满意的高速运

3、算速度。阵列除法器有多种形式,如不恢复余数阵列除法器、补码阵列除法器等等。本实验设计的是加减交替阵列除法器。本实验利用的细胞单元是一个可控加法/减法 CAS 单元,利用它组成的流水阵列来实现四位小数的除法。CAS 单元有四个输入端、四个输出端。其中有一个控制输入端 P,当 P=0 时,CAS 作加法运算;当 P=1 时,CAS 作减法运算。逻辑结构图如图 1.1 所示。图 1.1 可控加法/减法(CAS)单元逻辑结构图CAS 单元的输入与输出的关系可用如下逻辑方程来表示:Si=Ai(BiP)CiCi+1=(Ai+Ci)(BiP)+AiCi当 P=0 时,CAS 单元就是一个全加器,如下:Si=

4、AiBiCiCi+1=AiBi+BiCi+AiCi当 P=1 时,则得求差公式:Si=AiBiCiCi+1=AiBi+BiCi+AiCi其中有 Bi=Bi1在减法中,输入称为借位输入,而称为借位输出。不恢复余数法的除法即加减交替法。在不恢复余数的除法阵列中,若前一行输出的符号与被除数的符号是一致的则这一行执行加法,如果不一致则这一行执行减法。当出现不够减时,部分余数相对被除数来说要改变符号。这时应该产生一个商位“0” ,除数首先沿对角线右移,然后加到下一行的部分余数上,当部分余数不改变它的符号时,即产生商位“1” ,下一行的操作应该是减法。本实验就是要求用加减交替法设计阵列除法器。如下图 1.

5、2 所示的就是用加减交替法设计的阵列除法器,图中每一个方框代表一个 CAS 单元,除数为Y0Y1Y2Y3Y4;被除数为 X0X1X2X3X4。其中 X0 和 Y0 是被除数和除数的符号位,均为零,商的符号恒为零,商为 0.S1S2S3S4,余数为 0.000YU1YU2YU3YU4YU5。被除数由顶部一行和最右边的对角线上的垂直输入线来提供,除数沿对角线方向进入阵列。由控制信号 P 来决定此行作加法还是除法,当 P=0 时,CAS 作加法运算;当 P=1 时,CAS 作减法运算。1.2 设计思设计思路路在本实验中要求输入得除数和被除数数据位均为四位,并用加减交替法来设计这个阵列除法器。这个可以

6、用 CAS 单元所组成的流水阵列来实现,四位数据位加上一个符号位,一个五位除五位的加减交替除法阵列由 55 个 CAS 单元组成,其中两个操作数均为正。流水逻辑框图如上图 1.2 所示1.3 设计环境设计环境(1)硬件环境硬件环境 伟福伟福 COP2000 型计算机组成原理实验仪型计算机组成原理实验仪COP2000 计算机组成原理实验系统由实验平台、开关电源、软件三大部分组成。实验平台上有寄存器组 R0-R3、运算单元、累加器等组成。COP2000 计算机组成原理实验系统各单元部件都以计算机结构模型布局,系统在实验时即使不借助 PC 机,也可实时监控数据流状态及正确与否, 实验系统的软硬件对用

7、户的实验设计具有完全的开放特性,系统提供了微程序控制器和组合逻辑控制器两种控制器方式, 系统还支持手动方式、联机方式、模拟方式三种工作方式,系统具备完善的寻址方式、指令系统和强大的模拟调试功能。 COP2000 集成调试软件集成调试软件COP2000 集成开发环境是为 COP2000 实验仪与 PC 机相连进行高层次实验的配套软件,它通过实验仪的串行接口和 PC 机的串行接口相连,提供汇编、反汇编、编辑、修改指令、文件传送、调试 FPGA 实验等功能,该软件在Windows 下运行。(2)EDA 环境环境 Xilinx foundation f3.1 设计软件设计软件Xilinx founda

8、tion f3.1 是 Xilinx 公司的可编程期间开发工具,该平台功能强大,主要用于百万逻辑门设计。该系统由设计入口工具、设计实现工具、设计验证工具三大部分组成。第 2 章 详细设计方案2.1 功能模块的设计与实现功能模块的设计与实现阵列除法器的底层设计包括 25 个 CAS 模块,这个 CAS 模块由 2 个或门、4 个与门和 3 个异或门逻辑组合而成。2.1.1 细胞模块的设计与实现细胞模块的设计与实现(1) 创建细胞模块设计原理图。创建细胞模块设计原理图。 细胞模块原理结构图如图 2.1 所示。图 2.1 细胞块逻辑框图(2)创建元件图形符号)创建元件图形符号 为了能在图形编辑器中调

9、用 CAS 芯片需要把它封装,可利 Xilinx foundation f3.1 编译器中的如下步骤实现:Tools=Symbol Wizard=下一步。其中 XIN、YIN、PIN、CIN 为四个输入信号,YUOUT、YOUT、POUT、COUT 为四个输出信号。用其元件图形符号如图 2.2 所示: 图 2.2 细胞元件图形符号(3)功能仿真)功能仿真对创建的取补模块进行功能仿真,验证其功能的正确性,可用 Xilinx foundation f3.1 编译器的 Simulator 模块实现。仿真结果如图 2.4 所示:图 2.3 细胞模块仿真结果表 2.1 细胞模块真值表输入信号输出信号XI

10、NYINPINCINYUOUTYOUTPOUTCOUT111101110011001110111011111011100010101010100011110111010001100010010001110001010000000010001000将仿真结果与细胞模块的输入、输出信号真值表相对比可知,细胞模块的仿真结果正确。2.1.2 除法器模块的设计与实现除法器模块的设计与实现(1)创建除法器模块设计原理图。)创建除法器模块设计原理图。除法器模块原理结构如图 2.4 所示:图 2.54 除法器原理模块框图 (2)创建元件图形符号)创建元件图形符号为了能在图形编辑器中调用 YANG 芯片,需要为

11、除法器模块创建一个元件图形符号,可利 Xilinx foundation f3.1 编译器中的如下步骤实现:Tools=Symbol Wizard=下一步。其元件图形符号如图 2.5 所示:图 2.5 选择器元件图形符号(3)功能仿真)功能仿真对除法器模块进行功能仿真,验证其功能的正确性,可用 Xilinx foundation f3.1 编译器的 Simulator 模块实现。仿真结果如图 2.6 所示:图 2.6 除法器模块仿真结果图(3)功能仿真)功能仿真对创建的乘数补码移位寄存器模块进行功能仿真,验证其功能的正确性,可用 Xilinx foundation f3.1 编译器的 Simu

12、lator 模块实现。仿真结果如图 2.10 所示:2.2 仿真调试仿真调试仿真调试主要验证设计电路逻辑功能、时序的正确性,本设计中主要采用功能仿真方法对设计的电路进行仿真。(1)建立仿真波形文件及仿真信号选择)建立仿真波形文件及仿真信号选择功能仿真时,首先建立仿真波形文件,选择仿真信号,对选定的输入信号设置参数,选定的仿真信号和设置的参数如表 2. 2 所示。输入信号输出信号X00Y00S00YU00X11Y11S11YU10X20Y21S21YU21X31Y30S30YU31X41Y41S41YU41P1X00Y00S00YU01X11Y11S11YU11X21Y20S20YU20X31Y

13、31S30YU30X40Y41S41YU41P1表表 2.22.2 仿真信号相关参数表仿真信号相关参数表(2)功能仿真结果与分析)功能仿真结果与分析仿真结果分别如图 2.6 所示,仿真数据结果如表 2.2 所示。对比图 2.6 和表 2.2,多组功能仿真结果均正确,进而说明此电路设计的正确性。参考文献 1 曹昕燕. EDA 技术实验与课程设计M.北京:清华大学出版社,20062 范延滨.微型计算机系统原理、接口与 EDA 设计技术M.北京:北京邮电大 学出版社,20063 王爱英.计算机组成与结构(第三版)M.北京:清华大学出版社,20064 白中英.计算机组成原理(第四版)M.北京:科学出版

14、社,20095 唐朔飞.计算机组成原理(第二版)M.北京:高等教育出版社,20086 江国强.EAD 技术习题与实验M.北京:电子工业出版社,2005附 录(电路原理图)课程设计总结:课程设计总结:本次课程设计,我受益匪浅。当拿到课设题目后,我烦了想当然的错误,乐观的认为,题目很简单,原理很简单。但在具体实行时却遇到许多困难,对Xilinx foundation f3.1 设计软件的陌生更加阻碍着我的进程。于是,我决定塌下心来好好研究原理及软件的运用。用了一天的时间将软件中的自带芯片的功能查询了一遍,基本找到需要的芯片。之后,又学习了对电路封装的方法,再后来就能灵活的操作该软件,这对整个电路的

15、设计很有帮助。随后开始将各个部件连接到一起,这里也充满学问,不是单单的组合在一起,而是要综合考虑整体电路的节拍,以保证各个部件有序工作。没有找到现成的三选一芯片,我只好自己设计。设计的过程还真是痛苦,头脑中不断出现想法,但有一个个被现实否定,只能另寻方法。不过,功夫不负有心人。终于,我的想法终于在现实中实现了,就这样我完成了三选一芯片的设计,那时的我有一种释然的感觉,不过,我知道这只是一小步,要想最终完成还有很长的路要走,所以要继续努力。在课设期间,我老师和同学都给予我很大的帮助,老师们总是不厌其烦的为我解决疑惑,同学们总是在我要放弃时鼓励我,这些都使我的课设顺利完成,在这里我要衷心说声谢谢,这份珍贵的经历,我会永远记在心中。指导教师评语:指导教师(签字): 年 月 日课程设计成绩

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 中学教育 > 教学课件 > 高中课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号