EDA技术及应用教程讲义

上传人:ldj****22 文档编号:51936916 上传时间:2018-08-17 格式:PPT 页数:70 大小:142KB
返回 下载 相关 举报
EDA技术及应用教程讲义_第1页
第1页 / 共70页
EDA技术及应用教程讲义_第2页
第2页 / 共70页
EDA技术及应用教程讲义_第3页
第3页 / 共70页
EDA技术及应用教程讲义_第4页
第4页 / 共70页
EDA技术及应用教程讲义_第5页
第5页 / 共70页
点击查看更多>>
资源描述

《EDA技术及应用教程讲义》由会员分享,可在线阅读,更多相关《EDA技术及应用教程讲义(70页珍藏版)》请在金锄头文库上搜索。

1、EDAEDA技术及应用教程技术及应用教程讲义王 建 波2002年9月第一章EDA发展综述n1、1 CAD与EDAn计算机辅助设计技术(Computer Aided Design)n电子设计自动化(Electronic Design Automation)可以说EDA是CAD的高级阶段讲义 王建波EDA发展趋势自顶 向下 设计CAECAD1975 1980 1985 1990 1995 逻辑综合HDL 参数分析自动 测试设计电路图输入 逻辑模拟PCB版LSI布线设计讲义 王建波1、2 EDA技术与器件的发展n1、CAD阶段 60-80年代以PCB制作为主n2、CAE阶段 80-90年代以电路仿真

2、、分析为代表n3、EDA阶段 90年代以后以复杂电路设计,可编程器件设计为代表。可以应用IP核,制作ASIC器件。讲义 王建波1、3 EDA特征与工具n特征:自顶向下TOP DOWNn工具:物理工具(PCB制作等)逻辑工具(PLD器件设计)特别是ISP(In System Programmability) 和CPLD(Complex Programmable Logic Device)的使用!讲义 王建波1、4 可编程数字ASICnASIC(Application Specific Integrated Circuit) 定制淹膜 门阵列 标准单元 PLD PLD(PROM、PAL、GAL、E

3、PLD、CPLD、FPGA等等)讲义 王建波发展特点n价格不断降低n集成度不断提高n向系统级发展n全新的PLD平台n绿色CPLD出现讲义 王建波1、5 可编程模拟PLDnLattice公司于1992年提出ISP技术并于 1999年11月推出模拟PLD。n主要用于:n1、信号调理n2、信号处理n3、信号转换讲义 王建波1、6 结构化的硬件描述语言HDLnHDL(Hardware Description Language )ABEL AHDL Verilog HDL VHDL 讲义 王建波第二章 可编程逻辑器件发展趋势n2、1 片上系统(System-On-a-Chip)n1、系统集成n2、系统存

4、储n3、同步时序n4、系统接口讲义 王建波2、2 片上系统的设计问题n1、IP(Intelligent Property)核重用n2、形式验证n3、测试基准n4、可再配置计算n5、布局规划n6、核心设计讲义 王建波2、3基于IP模块的片上系统设计技术n1、片上系统和IP模块n2、片上系统设计方法的发展趋势n3、硬件IP模块n4、系统芯片展望讲义 王建波2、4可编程模拟器件2、5混合可编程器件n1、混合信号SOCn2、实际存在的问题n3、新创意、新思想讲义 王建波第三章 可编程逻辑器件基本原理3、1概述PLD(Programmable Logic Device) 一、基本结构n1、结构:由与、或

5、阵列和I/O结构等组成 。(见图P3、1)n2、PLD单元电路表示(见图P3、2) 二、PLD分类讲义 王建波n1、按照与、或阵列可编程分类 A、与门固定、或门可编程 B、或门固定、与门可编程 C、与门、或门均可编程n2、按照集成度分类n3、按照编程工艺分类 A、熔丝和反熔丝工艺器件 B、浮栅编程器件 C、SRAM编程器件讲义 王建波3、2 PAL器件 一、概述PAL(Programmable Array Logic ) 二、内部电路结构 三、PAL器件的开发讲义 王建波3、3 GAL器件 一、GAL器件概述(Generic Array Logic) 1、器件特点 可以反复编程使用 2、分类与

6、参数 二、普通型GAL器件 1、内部电路结构 2、输出逻辑宏单元(OLMC) OLMC(Output Logic Macro Cell) 可以实现软件进行的多种配置输出形式讲义 王建波三、OLMC的输出结构类型n1、简单模式n2、复合模式n3、寄存器模式(时序电路基本结构) 四、GAL器件的开发与编程 1、硬件条件:编程器和计算机 2、软件条件:可编程器件编译软件 如:ABEL,FUSEMAP等等讲义 王建波第四章、Lattice 公司可编程器件介绍 4、1 ISP器件概述4、2高密度ISP-PLD器件 一、ispLSI器件结构原理 1、集总布线区GRP(Global Routing Pool

7、) 完成信号互连 2、万能逻辑块GLB(Generic Logic Block) 可编程阵列 3、输出布线区ORP(Output Routing Pool) 输出配置区讲义 王建波4、输入输出单元IOC(I/O Cell) 5、巨型块(Megablock) 包括8个GLB,1个ORP,16个IOC和两个专用I/O 6、时钟分配单元 二、在系统编程 1、各种状态 2、实现方式 3、编程组态与接口讲义 王建波4、3低密度ISP-PLD原理 4、4 ISP-GDS原理一、ispGDS(Generic Digital Switch)器件介绍 用于灵活配置连接状态的器件 二、ispGDS器件编程与使用语

8、言描述软件编译编程、使用讲义 王建波4、5 ispGDX在系统可编程开关阵列用于复杂数字电路的快速连接4、6 ispPAC可编程模拟器件一、基本组成和特点 二、结构 三、应用 用于模拟信号调理、放大、选频、数学运算等等 。讲义 王建波4、7Lattice公司ISP-PLD器件的性能4、8Lattice/Vantis公司CPLD简介讲义 王建波第8章硬件描述语言8、1 HDL概述 一、HDL概述nHDL(Hardware Description Language )ABEL AHDL Verilog HDL VHDL 讲义 王建波二、语言特点 1、自顶向下TOP DOWN 2、采用ASIC芯片

9、3、预测仿真 4、降低设计难度 三、HDL语言优点8、2 VHDL与Verilog HDL 的比较讲义 王建波第 9 章VHDL9、1 VHDL语言基础一、基本语法 1、源文件由各种语句构成 2、关键字、标识符、常量之间至少要一个空格 隔开 3、常数要区分大小写 4、每行换行有结束标志。 5、注释文字以双划线“-”开始,直到行结尾讲义 王建波二、标识符、数据对象、数据类型和属性 1、标识符(分短标识符和扩展标识符)语法规定:n必须以英文字母开头n英文字母、数字(0-9)和下划线都是有效字符n标识符不区分大小写n下划线“_”的前后都必须有英文或者数字 合法标识符举例 S_ABCD,sig17,a

10、bc_efg 非法标识符举例 S-ABCD,17sig,abc_,_now讲义 王建波2、数据对象包括常量(CONSTANT)、变量(VARIABLE)、信号( SIGNAL)和文件(FILE)四种 (1)常量(CONSTANT):在文件中对某常数赋予一个 固定的值。通常在程序开始处赋值,数据类型在说明 语句中说明。其格式为: CONSTANT 常数名:数据类型:=表达式; 例如: CONSTANT VCC:REAL:=5.0; CONSTANT Fbus:BIT_VECTOR:=“1011”; CONSTANT Delay:TIME:10ns; 它的使用范围取决于它定义的位置,可以用于全局、

11、局 部结构体等等。讲义 王建波(2)变量(VARIABLE) 程序中的立即赋值量,它只能在进程和子程序中使用, 不能带出当前单元且赋值立即生效。其格式为: VARIABLE 变量名:数据类型:约束条件:=初始值; 例如: VARIABLE n:INTEGER RANGE 0 TO 15:=2; 也可以在语句后面紧跟变量赋值语句。格式为: 目标变量名:=表达式; 例如: VARIABLE a,b:=REAL; VARIABLE x,y:BIT_VECTOR(0 TO 7); 则可以有以下合法赋值语句:讲义 王建波x:=y; -运算表达式赋值 b:=a+1; a:=100; -实数型赋值 y:=“

12、0101101” -位矢量赋值 x(2 TO 4):=(1 ,0 ,1 );-段赋值 x(0 TO 2):=y(5 TO 7); x(6):=1; -位赋值 (3)信号(SIGNAL)信号是电路内部连接的抽象。作为实体信息交流的通道 。 定义格式为: SIGNAL 信号名:数据类型:约束条件:=表达式; 例如:SIGEAL gnd:BIT:=0; 定义一个单值信号gnd,数据类型是位BIT,信号初始值 为0。讲义 王建波SIGNAL data:STD_LOGIC_VECTOR(7 DOWENTO 0) ; 该句定义一个位矢量信号(总线)data,数据类型是标 准位矢量STD_LOGIC_VEC

13、TOR,共有8个元素。 定义完信号类型和表达方式后,还可以对信号进行赋值 。其格式为: 目标信号名顺序语句WHEN别的条件表达式=顺序语句END CASE;讲义 王建波5、LOOP语句 两种格式 (1)FOR循环变量 标号:FOR 循环变量IN循环次数范围LOOP循环处理语 句 (2)WHILE条件 标号: WHILE条件LOOP顺序处理语句;END LOOP标号; 6、NEXT语句 用于LOOP语句中用以跳出本次循环至标号所在位置。格 式为: NEXT标号WHEN 条件; 7、EXIT语句讲义 王建波用于LOOP语句中用以跳出本次循环至标号所在位置。格 式为:EXIT标号WHEN 条件; 8

14、、NULL语句 空语句,引入至下一个语句用 格式:NULL; 9、RETURN语句 子程序结束返回主程序的语句,有两种格式:nRETURN -第一种格式nRETURN 表达式 -第二种格式 10、REPORT语句 11、ASSERT语句 12、过程调用语句讲义 王建波13、WAIT语句 也是条件控制语句。见P181 包括四种情况: WAIT; -无限等待 WAIT ON; -敏感信号量变化 WAIT UNTIL; -条件满足 WAIT FOR; -时间到 二、子程序可以用于重复性的设计任务。子程序被调用时,首 先要初始化,执行处理功能后,将处理结果传递个主 程序。子程序内部值不能保持。 子程序

15、有两种类型: 过程(PROCEDURE)和函数(FUNCTION)讲义 王建波1、过程(PROCEDURE)子程序 A、过程的说明格式(仅限于这一局部有效) PROCEDURE 过程名(接口表); 例如: PROCEDURE convt (zin:IN STD_LOGIC_VECTOR;qout:INOUT INTEGER);. B、过程体的编写 格式: PROCEDURE 过程名(接口名) IS说明语句;BEGIN顺序处理语句;END 过程名;讲义 王建波2、函数( FUNCTION )子程序 VHDL中有现成的函数库,也可以用户定义,定义后可以 反复使用。 A、函数说明格式: FUNCTION 函数名(接口表)RETURN 数据类型; 例如: FUNCTION max(a:STD_LOGIC_VECTOR;b: STD_LOGIC_VECTOR )B、函数体的格式 FUNCTION 函数名(接口表)RETURN 数据类型 IS说明语句;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号