格雷码、二进制码转换

上传人:ldj****22 文档编号:51240471 上传时间:2018-08-13 格式:PPT 页数:20 大小:468KB
返回 下载 相关 举报
格雷码、二进制码转换_第1页
第1页 / 共20页
格雷码、二进制码转换_第2页
第2页 / 共20页
格雷码、二进制码转换_第3页
第3页 / 共20页
格雷码、二进制码转换_第4页
第4页 / 共20页
格雷码、二进制码转换_第5页
第5页 / 共20页
点击查看更多>>
资源描述

《格雷码、二进制码转换》由会员分享,可在线阅读,更多相关《格雷码、二进制码转换(20页珍藏版)》请在金锄头文库上搜索。

1、南理工紫金学院EDA设计实验第二节 格雷码、二进制码转换南理工紫金学院EDA设计实验 实验内容1)学习VHDL代码修改。2)利用case语句描述4位格雷码/二进制码转 换电路,要求将输入输出的数据用数码管 显示。 。 3)利用generate并行语句描述n位格雷码/二 进制码转换电路(n=4)。 4) 利用实验箱验证所设计电路的正确性, 要求将输入输出的数据用七段数码管显示 。南理工紫金学院EDA设计实验原理4位格雷码转换为二进制表达式为:n位格雷码转换为二进制表达式南理工紫金学院EDA设计实验注意:VHDL 对大小写不敏感,和“”中的内容除外 。Library IEEE; use IEEE.

2、std_logic_1164.all;entity and_gate isport(a,b: IN std_logic;y: out std_logic); end and_gate;architecture rhl of and_gate is beginy一组顺序语句; when 条件表达式的值=一组顺序语句; end case;说明:casewhen语句中条件表达式的值必须列举穷尽, 而且不能重复。条件句中的“=”不是操作符,只相当于“THEN”作 用。 不能穷尽的表达式用others表示when others=一组顺序语句;casewhen语句是无序的,所有条件表达式的值并行处理。南理

3、工紫金学院EDA设计实验由于case属于顺序语句 ,在VHDL中顺序语句只能 存在与进程中。南理工紫金学院EDA设计实验VHDL 常用基本语句进程语句进程主要用于描述顺序语句,其格式如下:标记:process (敏感信号表 ) -变量声明语句; begin -顺序语句 end process;敏感信号(包括端口信号)指那些值发生改变后能引起 进程语句执行的信号。当敏感信号发生改变时,进程启 动,begin和end之间的语句从上到下顺序执行一次,然 后返回进程语句开始,等待下一次敏感信号的变化。因 此进程语句有两种状态:等待状态和执行状态。南理工紫金学院EDA设计实验译码器D C B A“000

4、1”显示1“1000”显示8南理工紫金学院EDA设计实验abcdefg七段数码管g为高位,a为地位 例如:如果数码管要显 示0,则赋值应该为 “0111111”g f e d c b a南理工紫金学院EDA设计实验利用模式6验证电路的正确性南理工紫金学院EDA设计实验WHEN ELSE 条件信号赋值语句目标信号=表达式1 when 条件1 else表达式2 when 条件2 else表达式n-1 when 条件n-1 else表达式n;南理工紫金学院EDA设计实验 作业:1、写出格雷码二进制码转换原理。 2、整理课堂所作内容,要求给出代码(关键地方给出注释)。 1)建立VHDL文件,用Case语句描述4位格雷码、二进制码转换 ,(P39); 2)新建另一个VHDL文件、用FOR语句描述n位(n=4)格雷码 二进制码转换电路,要求输出用7段数码管显示(提示:在该 代码中最后应用case语句将4位二进制转换位7段代码。) 3、给出仿真波形。 4、给出管脚配置图。 5、如果有硬件测试、给出硬件测试结果。 6、写出碰到的问题及解决方法、体会。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号