实验四 数字秒表设计

上传人:mg****85 文档编号:50538472 上传时间:2018-08-08 格式:PPT 页数:24 大小:9.90MB
返回 下载 相关 举报
实验四 数字秒表设计_第1页
第1页 / 共24页
实验四 数字秒表设计_第2页
第2页 / 共24页
实验四 数字秒表设计_第3页
第3页 / 共24页
实验四 数字秒表设计_第4页
第4页 / 共24页
实验四 数字秒表设计_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《实验四 数字秒表设计》由会员分享,可在线阅读,更多相关《实验四 数字秒表设计(24页珍藏版)》请在金锄头文库上搜索。

1、1本部分实验内容为新内容,操作步骤较多,为保证实 验项目进行完毕,请同学们务必提前做好预习准备 通过本次实验,引导学生以硬件描述语言的手段 设计数字逻辑电路; 掌握在QuartusII软件下VHDL语言输入的设计流程 ; 理解数字秒表的工作原理,学会在QuartusII下通 过VHDL语言描述数字秒表的设计方法; 掌握可编程逻辑器件(PLD)的基本开发流程。2一、实验目的二、实验设备n计算机,可编程逻辑器件实验板 可编程逻辑器件(Programmable Logic Devices),发展于 20世纪70年代,属半定制集成电路; 使用PLD器件,借助EDA设计方法,可以方便、快速地构建 数字系

2、统; 任何组合逻辑电路都可以用“与门-或门”二级电路实现; 任何时序逻辑电路都可以由组合逻辑电路加上存储元件(触 发器、锁存器构成); 人们由此提出乘积项可编程电路结构,原理结构如下:3三、PLD器件简述 可编程逻辑器件选用Altera公司新一代FPGA器件:CycloneII 系列的EP2C 35F484C8; 开发板通过USB Blaster将PC机USB接口与核心板JTAG接口相 连,下载目标文件。4实验设备-FPGA开发板简介5电源指示灯电源开关独立按键,按下为低电 平,弹起为高电平LED指示部分FPGA开发板结构简介JTAG下载接口共阴数码管显示部分 要求:用VHDL语言设计数字秒表

3、; 计时单位:1秒;(即每秒计时1次) 计时范围:09秒; 显示方式:使用FPGA开发板上的数码管进行显示; 用逻辑电路控制任意数码管,在脉冲信号CP的作用下 ,显示计秒数值;6四、数字秒表的要求 CP由实验板上的50MHz有源晶振产生;从PLD器件的专用时钟 引脚L1输入到器件内部(在QuartusII下进行引脚锁定), 为计数器提供时钟信号; 由于实验板上所带的连续脉冲CP的频率为50MHz;因此,需 要为秒表的计数器设计分频器,将50MHz的连续脉冲分频, 得到秒脉冲; 再由秒脉冲作为秒表计数器的计数时钟。 本质上就是用VHDL语言描述模值为50,000,000的计数器。71、分频器设计

4、(用VHDL语言描述)四、实验任务 0-9秒表实际上就是一个10进制计数器。 方法1: 采用VHDL分别描述10进制计数器,当计数值为9时,若再来 一个时钟脉冲,计数器回到初值0重新计数82、计数器设计(用VHDL语言描述) 计数器产生的BCD数,若要采用数码管显示,还需要设计4 -7线译码器。 实验板上带有2个4联共阴数码管,共8位,其公共端低电平 有效,通过一片74LS138进行位选控制.93、4-7线译码器设计(用VHDL语言描述) (1)可采用多进程描述的方法,分频器为一个进 程,计数器写入另一个进程。将分频器进程中的 秒脉冲输出定义为signal,作为计数器进程的敏 感信号,驱动计数

5、器进行计数; (2)可采用原理图符号化连接的方法,将分频器 和计数器所生成的元件符号在原理图中进行连接 ,完成顶层次设计。104、各模块的接口50MHz1Hz此处的秒表计数器就是10进制计数器秒脉冲分频器的VHDL描述秒表计数器及线译码器的VHDL描述 1. 通过QuartusII建立一个新项目; 2. 建立项目时选CycloneII系列(family)的目标器件 (devices)EP2C35F484C8 3. 新建VHDL设计文件,编写VHDL程序,设计分频器 、计数器及译码器,并编译通过; 4. 对设计进行引脚锁定,再次编译; 5.连接实验板下载线,将编译所生成的*.sof目标文件 下载

6、到FPGA芯片中; 6. 观察运行结果,如不正确,检查设计,调整后重新 编译后再次下载后观察,直至结果正确。14五、实验步骤 建立工程; 根据前面的示例编写程序; 确认无错后执行全程编译; 建立波形仿真文件,进行时序仿真,观察仿真结果15、建立工程并编写程序 引脚锁定以实际的实验系统选用的芯片为准 引脚锁定方法: 在菜单下依次选择Assignments/Pin 双击编辑窗口对应引脚的Location,根据端口名选择引脚号2、引脚锁定Led(左起 )DPGFEDCBAPLD 引脚Pin _G6Pin H3pin9 H5Pin J4pin H4pin J7Pin J5Pin N316现在将秒表的8

7、个译码输出端所需引脚锁定到实验板对应 的数码管段码端上。1、 2*4联共阴数码管的8个公共发光段与FPGA器件引脚的连接2、数字秒表的脉冲CP通过核心板板上的50MHz连续脉冲分 频得到,锁定到Pin_L1。3、2*4联数码管位选端低电平有效,通过74HC138选择其锁定关系为(此处可以不锁定,默认最低位点亮)SEL2:Pin_F4; SEL1:Pin_F3; SEL0:Pin_M5;17双击“Location”下方的表格框,弹出指定 器件的引脚列表,选择用户自定义的引脚 。这么多, 选哪个呢?183、再次执行全程编译(必要步骤)19下载器第一次连接到PC机,会弹出硬件安装向导,按下 述操作完

8、成下载器安装 启动下载:单击ToolsProgrammer,即启动下载界面 在下载界面选择单击Hardware Setup按钮选择下载器,在弹 出菜单中双击选择USB-Blaster ,再单击close。205、下载 编程下载:在配置文件(*.sof或*.pof)信息窗口处(屏幕右下大 半区域),勾选program/configure, 然后单击start按钮,即开始配置/编程;2122正在下载程序当下载窗口右上角progress显示出100%,表示下载成功;观察LED的显示效果是否达到设计要求。 若编程器自身有故障或者未正确连接,则不能编程/配置,底部的 信息栏会有红色字体的信息提示,这时需要检查编程器的连接。 解决方法:将下载线与PC机USB连接线断开,插入,重试下载。236、故障处理 若将示例程序中的程序下载到实验板上观察运行结果 ,所看到的将是“”字形的字段,请思考一下这是为什么? 仔细分析程序中分频器描述的部分,对程序进行修改,重新编 译后再次下载,观察是否已正常看到计秒现象 修改程序,实现90到计时显示,并下载到实验板上运行,观 察结果是否符合要求。24、结果分析及提高要求

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 生活休闲 > 科普知识

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号