实习quartuii9.0使用讲解

上传人:ldj****22 文档编号:49558205 上传时间:2018-07-30 格式:PPT 页数:41 大小:1.71MB
返回 下载 相关 举报
实习quartuii9.0使用讲解_第1页
第1页 / 共41页
实习quartuii9.0使用讲解_第2页
第2页 / 共41页
实习quartuii9.0使用讲解_第3页
第3页 / 共41页
实习quartuii9.0使用讲解_第4页
第4页 / 共41页
实习quartuii9.0使用讲解_第5页
第5页 / 共41页
点击查看更多>>
资源描述

《实习quartuii9.0使用讲解》由会员分享,可在线阅读,更多相关《实习quartuii9.0使用讲解(41页珍藏版)》请在金锄头文库上搜索。

1、第三章 Quartus 9.0软件操作基础n nQuartusQuartus软件的简介和工程的基本设计流程;软件的简介和工程的基本设计流程;n n通过简单的实例演示各流程以及常用工具的使用通过简单的实例演示各流程以及常用工具的使用 方法,熟悉方法,熟悉QuartusQuartus软件的用户界面、常用工软件的用户界面、常用工 具和设计流程。具和设计流程。ALTERA公司的专用开发工具3.1 Quartus 9.0 软件的安装(详见光盘2 quartus9.0sp2安装视频)n把Quartus9.0安装光盘放入计算机的光驱中,在自动出现 的光盘安装目录中选择安装Quartus软件和Megacore

2、 IP library两项,安装光盘将自动引导完成软件的安装;n软件安装完成之后,在软件中指定Altera公司的授权文件( License.dat),才能正常使用 。n授权文件可以在Altera的网页上http:/申 请或者购买获得。n安装Altera的硬件驱动程序。驱动程序存放在Quartus安 装目录下的quartusdrivers文件夹中。驱动安装后才能 将设计结果通过计算机的通信接口编程下载到目标芯片中。 3.2 Quartus 9.0软件的用户界面双击桌面上的 QuartusII 9.0 图标,打开 QuartusII 软件 。n标题栏标题栏中显示当前工程的路径和工程名。n菜单栏菜单

3、栏主要由文件(File)、编辑(Edit)、 视图(View)、工程(Project)、资源分( Assignments)、操作(Processing)、工具 (Tools)、窗口(Window)和帮助(Help )等下拉菜单组成。n工具栏工具栏中包含了常用命令的快捷图标。n资源管理窗口资源管理窗口用于显示当前工程中所有相关 的资源文件。3.2 Quartus 9.0软件的用户界面n工程工作区当Quartus实现不同的功能时, 此区域将打开对应的操作窗口,显示 不同的内容,进行不同的操作,如器 件设置、定时约束设置、编译报告等 均显示在此窗口中。n编译状态显示窗口此窗口主要显示模块综合、布局布

4、 线过程及时间。n信息显示窗口该窗口主要显示模块综合、布局布线过程中的信息,如编译中出现的 警告、错误等,同时给出警告和错误的具体原因。3.2 Quartus 9.0软件的用户界面设计输入 设计修改功能仿真器件编程在线校验设计编译时序仿真系统产品设计要求3.3 Quartus 9.0软件开发流程 通用设计流程3.3.1 如何建项目工程1.打开软件,FileNew Project Wizard4.点击Next1.指定工作目录2.指定项目名称, 可取任何其它的名, 也可直接用顶层的 实体名作为工程名3.本项目顶层 Entity名称建议顶层文件名与顶层Entity同名; 建议顶层文件名与项目名称相同

5、3.3.1 如何建项目工程由于Quartus II 只对项目进行编译,模拟,编程 而是不对单独的文件进行,所以要进行设计第一步 就是建工程。对于一个设计,创建一个单独的目录,该目录的 路径从根目录开始都必须是英文名称,任何一级目录 都不能出现中文字样,且不能包含空格,否则在读文 件时会发生错误。需要注意地方:3.3.1 如何建项目工程在对话框中单击File name 右侧的 “ ”按钮,可以将与工程相关的 所有verilog文件(如果有的话)加 入进此工程,此工程文件加入的方 法有两种:第1 种方法是单击“Add ”按钮,从工程目录中选出相关 的verilog文件;第2 种方法是单击 Add

6、All 按钮,将设定的工程目录 中的所有verilog文件加入到工程文 件栏中。如果还没有建立verilog文 件,就直接点击“Next”即可。将本项目所需文件包含进来窗口3.3.1 如何建项目工程为本项目指定目标器件(芯片)1.选择器件系列2.选择Auto 或具体器件3.点击Next选择封装选择引脚数速度等级3.3.1 如何建项目工程指定所需的第三方EDA工具用户可以选择所用到的 第三方工具,比如 ModleSim、Synplify等 。在本例中并没有调用 第三方工具,可以都不 选。点击Next或Finish3.3.1 如何建项目工程(1)输入设计文件有以下几种:3.3.2 如何输入设计文件

7、1 1)新建工程之后,便)新建工程之后,便 可以进行电路系统设计文件可以进行电路系统设计文件 的输入。选择的输入。选择filefile菜单中的菜单中的 NewNew命令,弹出如图所示的命令,弹出如图所示的 新建设计文件类型选择窗口新建设计文件类型选择窗口 。产生一个新的图表模块/原 理图文件 菜单: File New Block/Schematic document(2)、输入设计文件原理图输入法3.3.2 如何输入设计文件2)选择New对话框 中的Device Designfiles页下的Block Diagram/SchematicFile,点击OK,打开 如图所示的图形编辑器 对话框,进

8、行设计文件 输入。(2)、输入设计文件原理图输入法3.3.2 如何输入设计文件3)在图形编辑窗 口中的任何一个位置双 击鼠标,或点击图中的 “符号工具”按钮,或选 择菜单Edit下的Insert Symbol命令,弹出如 右图所示的元件选择窗 口Symbol 对话框。(2)、输入设计文件原理图输入法3.3.2 如何输入设计文件4 4)用鼠标点击单元库前面的加号)用鼠标点击单元库前面的加号(+)(+),库中的元件符号以列表的方,库中的元件符号以列表的方 式显示出来,选择所需要的元件符号,该符号显示在式显示出来,选择所需要的元件符号,该符号显示在SymbolSymbol对话框的右对话框的右 边,点

9、击边,点击OKOK按钮,添加相应元件符号在图像编辑工作区中,连接原理图按钮,添加相应元件符号在图像编辑工作区中,连接原理图 。注意:信号线标号(注意:信号线标号(选中该线,点击右键,选择选中该线,点击右键,选择PropertiesProperties并输入标并输入标 号名即可号名即可)与总线标号名要一致。)与总线标号名要一致。十进制同步计数器的原理图如下:十进制同步计数器的原理图如下: (2)、输入设计文件原理图输入法3.3.2 如何输入设计文件十进制同步加法计数器的仿真图如下:十进制同步加法计数器的仿真图如下:3.3.2 如何输入设计文件优点:1)可以与传统的数字电路设计法接轨,即使用传统设

10、计方法得到 电路原理图,然后在Quartus平台完成设计电路的输入、仿真验证和 综合,最后下载到目标芯片中。2) 它将传统的电路设计过程的布局布线、绘制印刷电路板、电路 焊接、电路加电测试等过程取消,提高了设计效率,降低了设计成本, 减轻了设计者的劳动强度。 缺点:1)原理图设计方法没有实现标准化,不同的EDA软件中的图形处理 工具对图形的设计规则、存档格式和图形编译方式都不同,因此兼容性 差,难以交换和管理。2)由于兼容性不好,性能优秀的电路模块的移植和再利用非常困 难难以实现用户所希望的面积、速度以及不同风格的综合优化 3)原理图输入的设计方法不能实现真实意义上的自顶向下的设计 方案,无法

11、建立行为模型,从而偏离了电子设计自动化最本质的涵义。原理图输入法优缺点:3.3.2 如何输入设计文件nQuartus编译器的主要任务是对设计项目进行检查并完成逻辑综 合,同时将项目最终设计结果生成器件的下载文件。编译开始前 ,可以先对工程的参数进行设置。nQuartus软件中的编译类型有全编译和分步编译两种。n选择Quartus主窗口Process菜单下Start Compilation命令,或 者在主窗口的工具栏上直接点击图标 可以进行全编译; n 全编译的过程包括分析与综合(Analysis & Synthesis)、适配 (Fitter)、编程(Assembler)、时序分析(Class

12、ical Timing Analysis)这4个环节,而这4个环节各自对应相应的菜单命令,可 以单独分步执行,也就是分步编译。 3.3.3 如何编译设计文件分步编译就是使用对应命令分步执行对应的编译环节,每完成 一个编译环节,生成一个对应的编译报告。分步编译跟全编译一样 分为四步:1、分析与综合(Analysis & Synthesis) :设计文件进行分 析和检查输入文件是否有错误,对应的菜单命令是Quartus主窗口 Process菜单下StartStart Analysis & Synthesis,对应的快捷图 标是在主窗口的工具栏上的 ;2、适配(Fitter):在适配过程中,完成设计

13、逻辑器件中的布 局布线、选择适当的内部互连路径、引脚分配、逻辑元件分配等, 对应的菜单命令是Quartus主窗口Process菜单下StartStart Fitter;(注:两种编译方式引脚分配有所区别 )3.3.3 如何编译设计文件3、编程(Assembler):产生多种形式的器件编程映像文件 , 通过软件下载到目标器件当中去,菜单命令是Quartus主窗口 Process菜单下StartStart Assembler;4、时序分析(Classical Timing Analyzer):计算给定设计与 器件上的延时,完成设计分析的时序分析和所有逻辑的性能分析, 菜单命令是Quartus主窗口

14、Process菜单下StartStart Classical Timing Analyzer ,对应的快捷图标是在主窗口的工具栏上的 。编译完成后,编译报告窗口Compilation Report会报告工程文 件编译的相关信息,如编译的顶层文件名、目标芯片的信号、引脚 的数目等。全编译操作简单,适合简单的设计。对于复杂的设计,选择分步编 译可以及时发现问题,提高设计纠错的效率,从而提高设计效率。3.3.3 如何编译设计文件n 仿真的目的就是在软件环境下,验证电路的行为和设想 中的是否一致。n FPGA/CPLD中的仿真分为功能仿真和时序仿真。功能仿真 着重考察电路在理想环境下的行为和设计构想的

15、一致性, 时序仿真则在电路已经映射到特定的工艺环境后,考察器 件在延时情况下对布局布线网表文件进行的一种仿真。n仿真一般需要建立波形文件、输入信号节点、编辑输入信 号、波形文件的保存和运行仿真器等过程。3.3.4 如何建立仿真设计文件1、建立波形文件 波形文件用来为设计产生输入激励信号。利用QuartusII 波形编辑器可以创建矢量波形文件(.vwf) n创建一个新的矢量波形文件步骤如下:(1)选择Quartus主界面File菜单下的New命令,弹出新 建对话框;(2)在新建话框中选择Verification/Debugging Files文 件下的Vector Waveform File,点

16、击OK按钮,则打开一个空的 波形编辑器窗口,主要分为信号栏、工具栏和波形栏 。3.3.4 如何建立仿真设计文件1、建立波形文件 3.3.4 如何建立仿真设计文件波形编辑器窗口2、输入信号节点(1) 在波形编辑方式下,执 行Edit菜单中的Insert Node or Bus命令,或者在波形编辑器左边 Name列的空白处点击鼠标右键, 弹出的Insert Nodeor Bus对话框 ,或者在波形编辑器左边Name列 的空白处双击左键,弹出的Insert Nodeor Bus对话框。3.3.4 如何建立仿真设计文件输出进制选择2、输入信号节点(2)点击Insert Nodeor Bus对话框中的Node Finder按钮,弹出 Node Finder窗口,在此窗口中添加信号节点。3.3.4

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号