小波变换的滤波器实现

上传人:艾力 文档编号:49551478 上传时间:2018-07-30 格式:PPT 页数:15 大小:1.36MB
返回 下载 相关 举报
小波变换的滤波器实现_第1页
第1页 / 共15页
小波变换的滤波器实现_第2页
第2页 / 共15页
小波变换的滤波器实现_第3页
第3页 / 共15页
小波变换的滤波器实现_第4页
第4页 / 共15页
小波变换的滤波器实现_第5页
第5页 / 共15页
点击查看更多>>
资源描述

《小波变换的滤波器实现》由会员分享,可在线阅读,更多相关《小波变换的滤波器实现(15页珍藏版)》请在金锄头文库上搜索。

1、小波变换的滤波器实现基于开关电开关电 流技术术的 小波滤滤波器的实现实现1.多分辨率信号分解 频率空间的刨分性连续 小波变换 (Continuous Wavelet Transform ,CWT)具有多分辨率的特点,可看成是带通滤波 器在不同尺度下对信号进 行滤波。 各带通空间的恒Q性小波变换 具有表征待分析信号在频域上局部性质的 能力,采用不同尺度a做处理时,各(a)的中心 频率和带宽 都不一样,但品质因数Q却不变。 各级滤 波器的一致性从频 域上看,用不同尺度做小波变换 大致相当于用 一组滤 波器对信号进 行处理。 根据以上三性质,只要设计 出一级的小波滤波器 ,再通过该滤 波器构成滤波器

2、组,从而实现对 信 号的分解与重建。2.小波变换实现过变换实现过 程补补补补充充:信号与 系统的观点 卷积表达式: LTI系统的零状态响应 y(t)等于激励f(t)与系统冲 击响应 h(t)(也即系统函数)的卷积积 分。 连续 系统的S域分析大多数信号都存在傅里叶变换 ,但也有一些重要的 信号不存在傅里叶变换 ,因此引入了复频 率的概 念 拉普拉斯变换将傅里叶变换 里的 换成 得拉普拉斯变 换 这样 我们就把一个时 域的信号转换 到S域,如果 已知S域的系统函数H(s),就可以设计 出电路元件 的S域模型。 21 小波变换变换 设信号x(t)是平方可积函数,(t)是被称为 基本小 波或母小波的

3、函数,则: 上式称为x(t)的小波变换,其中a尺度因子,a0, b反映位移,其值可正可负。从定义上看,小波变 换相当于信号x(t)与 的卷积。众所周 知,一个滤波器电路的输出是滤波器冲击响应与输 入信号卷积,因此,实现对信号的连续小波变换可 以使信号通过滤波器实现。 22 小波函数数的逼近实现实现 这里以Mexican Hat小波为例研究小波函数的实 现方法 将上式加入尺度因子a(即将t换成t/a)进行傅里 叶变换为 信号x(t)在尺度a下的CWT可通过转 移函数为 H(j)的滤波器来实现 。然而,从上图可以看出 (t)是关于t=0对称 的,因此它是非因果的,任何 滤波器的脉冲响应 在右半平面

4、有极点将会 不稳定 ,为了能够使其稳定,给一个时间 延迟T,实际 上,就是小波函数里的平移因子。 再令s=jw,则得S域的系统函数 上式中分母为指数形式,这样 系统函数就不能由 只能实现 有理的和有限次传输 函数的标准滤波器 实现 。为了使系统函数有理化,通过使用麦克劳 林公式近似逼近指数函数: 这样 就可以使要求的系统函数可以稳定的实现 。 最终得到Mexican Hat小波的系统函数为 : 根据S域的电子元件模型就可以构造出上式的 Mexican Hat小波的滤波器。 2.3开关电开关电 流滤滤波器实现实现 小波变换变换开关电 流技术是电流模信号处 理技术,利用MOSd 晶体管在其栅极开

5、路时存储在栅极氧 化电容上的 电荷来维 持其漏极电 流。开关电 流电路属于抽样 数据电路,它处 理的是抽样信号,即时间 离散而 幅度连续 的信号,它是一种离散时间电 路,其基 本单元与数 字电路类似,主要是相加延乘系数、 微分和积分等电路3.实验 仿真 设输 入信号如下式所示: 将信号输 入到开关电 流滤波器中,输出结果如图 所示,根据结果可以看出滤波器实现 高通滤波器 ,将低频的正弦波滤除,得到高频波形。 小波滤波器的应用 用小波滤波器实现 小波变换 具有很好的实时 性, 结合小波变换 具有变时 窗的时频 特性,可以应用 于对信号检测 、特征提取、地质勘探、力学等领 域中对实时 性要求较高的场合。 近年来,针对 典型的电能质量扰动 信号,需要能 够及时地检测 出扰动 ,从而提出了采用小波多分 辨率信号分解的电能质量检测与时频 分析方法, 可用于电压 跌落、电压 凸起、电压间断 、暂态 干 扰、短时间谐 波失真、暂态谐 波失真、电压闪变 与波动等电能质量扰动 信号的检测与时 域分析。谢谢观赏

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号