半导体专业用语

上传人:飞*** 文档编号:43818981 上传时间:2018-06-07 格式:DOC 页数:8 大小:103.50KB
返回 下载 相关 举报
半导体专业用语_第1页
第1页 / 共8页
半导体专业用语_第2页
第2页 / 共8页
半导体专业用语_第3页
第3页 / 共8页
半导体专业用语_第4页
第4页 / 共8页
半导体专业用语_第5页
第5页 / 共8页
点击查看更多>>
资源描述

《半导体专业用语》由会员分享,可在线阅读,更多相关《半导体专业用语(8页珍藏版)》请在金锄头文库上搜索。

1、金属前介质层(PMD) 金属间介质层(IMD) W 塞 (W PLUG) 钝化层(Passivation) acceptor 受主,如 B,掺入 Si 中需要接受电子 Acid:酸 actuator 激励 ADI After develop inspection 显影后检视 AEI After etching inspection 蚀科后检查 AFM atomic force microscopy 原子力显微 ALD atomic layer deposition 原子层淀积 Align mark(key):对位标记 Alignment 排成一直线,对平 Alloy:合金 Aluminum:铝

2、 Ammonia:氨水 Ammonium fluoride:NHF Ammonium hydroxide:NHOH Amorphous silicon:-Si,非晶硅(不是多晶硅) amplifier 放大器 AMU 原子质量数 Analog:模拟的 analyzer magnet 磁分析器 Angstrom:A(E-m)埃 Anisotropic:各向异性(如 POLY ETCH) Antimony(Sb)锑 arc chamber 起弧室 ARC: anti-reflect coating 防反射层 Argon(Ar)氩 Arsenic trioxide(AsO)三氧化二砷 Arsenic

3、(As)砷 Arsine(AsH) ASHER 一种干法刻蚀方式 Asher:去胶机 ASI 光阻去除后检查 ASIC 特定用途集成电路Aspect ration:形貌比(ETCH 中的深度、宽度比) ATE 自动检测设备 Back end:后段(CONTACT 以后、PCM 测试前) Backside Etch 背面蚀刻 Backside 晶片背面 Baseline:标准流程 Beam-Current 电子束电流 Benchmark:基准 BGA ball grid array 高脚封装 Cassette 装晶片的晶舟 CD:critical dimension 关键性尺寸,临界尺寸Cham

4、ber 反应室 Chart 图表 Child lot 子批 chiller 制冷机 Chip (die) 晶粒 Chip:碎片或芯片。 clamp 夹子 CMP 化学机械研磨 Coater 光阻覆盖(机台) Coating 涂布,光阻覆盖 Computer-aided design(CAD):计算机辅助设计。 Contact Hole 接触窗 Control Wafer 控片 Correlation:相关性。 Cp:工艺能力,详见 process capability。 Critical layer 重要层 CVD 化学气相淀积 Cycle time 生产周期 Defect density:缺

5、陷密度。单位面积内的缺陷数。 Defect 缺陷 DEP deposit 淀积 Depth of focus(DOF):焦深。 Descum 预处理 Developer 显影液;显影(机台) developer:)显影设备; )显影液 Development 显影 DG dual gate 双门 DI filter 离子交换器 DI water 去离子水 Diffusion 扩散 disk 靶盘disk/flag faraday 束流测量器 Doping 掺杂 Dose 剂量 Downgrade 降级 DRC design rule check 设计规则检查 Dry Clean 干洗 Due

6、date 交期 Dummy wafer 挡片 E/R etch rate 蚀刻速率 EE 设备工程师 ELS extended life source 高寿命离子源 enclosure 外壳 Bipolar:双极 Boat:扩散用(石英)舟 BPSG 含有硼磷的硅玻璃 Break 中断,stepper 机台内中途停止键 cassette 晶片盒 End Point 蚀刻终点 e-shower 中性化电子子发生器 ET etch 蚀刻 Exhaust 排气(将管路中的空气排除) Exposure 曝光 extrantion electrode 高压吸极 FAB 工厂 fab:常指半导体生产的制造

7、工厂。 FIB focused ion beam 聚焦离子束Field Oxide 场氧化层 filament 灯丝 film:薄膜,圆片上的一层或 多层迭加的物质。 flat aligener 平边检测器 flat:平边 flatband capacitanse:平带电容 flatband voltage:平带电压 Flatness 平坦度 flow coefficicent:流动系数 flow velocity:流速计 flow volume:流量计 flux:单位时间内流过给定面积的颗粒数 Focus 焦距 forbidden energy gap:禁带 Foundry 代工 four-

8、point probe:四点探针台 FSG 含有氟的硅玻璃 functional area:功能区 Furnace 炉管 gate oxide:栅氧 glass transition temperature: 玻璃态转换温度GOI gate oxide integrity 门氧化层完整性 gowning:净化服 gray area:灰区 gyro drive 两方向偏转 hard bake:后烘 ,坚烘,soft bake (软烘)HCI hot carrier injection 热载流子注入 HDP:high density plasma高密度等离子体 heat exchange 热交换机

9、High-Voltage 高压 ICP inductive couple plasma 感应等离子体 ID 辨认,鉴定 IGBT 绝缘门双极晶体管 images:去掉图形区域的版implant 注入 Implant 植入 impurity n 掺杂 impurity:杂质 inductive coupledplasma(ICP):感应等离子体 inert gas:惰性气体 initial oxide:一氧 insulator:绝缘 isolated line:隔离线 junction 结 junction spiking n 铝穿刺 kerf 划片槽 landing pad n PAD Lay

10、er 层次 LDD lightly doped drain 轻掺杂漏 liner drive 直线往复运动 lithography n 制版 loadlock valve 靶盘腔装片阀 Local defocus 局部失焦因机 台或晶片造成之脏污 LOCOS local oxidation of silicon 局部氧化 Loop 巡路 Lot 批 LP(低压)淀积多晶硅(LPPOLY)mainframe 主机 maintainability, equipment设备产能 maintenance n 保养 majority carrier n 多数载流子 Mask (reticle) 光罩 m

11、asks, device series of n 一成套光刻版 material n 原料 matrix n 矩阵 mean n 平均值 measured leak rate n 测得漏率 median n 中间值 memory n 记忆体 Merge 合并 metal n 金属 Metal Via 金属接触窗 MFG 制造部 Mid-Current 中电流Module 部门 nanometer (nm) n :纳米 nanosecond (ns) n :纳秒 NIT SiN 氮化硅 nitride etch n :氮化物刻蚀 nitrogen (N ) n: 氮气,一种双原 子气体 Non-

12、critical 非重要 NP n-doped plus(N+) N 型重掺杂 n-type adj :n 型 NW n-doped well N 阱 OD oxide definition 定义氧化层 ohms per square n:欧姆每平方 方 块电阻 OM optic microscope 光学显微镜 OOC 超出控制界线 OOS 超出规格界线 orientation n: 晶向,一组晶列所 指的方向 Over Etch 过蚀刻 Over flow 溢出 overlap n : 交迭区 Overlay 测量前层与本层之间曝光 的准确度 OX SiO 二氧化硅 P poly 多晶硅

13、PA; passivation 钝化层 Parent lot 母批 Particle 含尘量/微尘粒子 PH photo 黄光或微影 phosphorus (P) n :磷 ,一种有毒 的非金属元素 photomask n :光刻版,用于光刻 的版 photomask, negative n:反刻 photomask, positive n:正刻 Pilot 实验的PVD 物理气相淀积 PW p-doped well P 阱 quad rupole lens 磁聚焦透镜quartz carrier n 石英舟。 Queue time 等待时间 内层介电层(ILD) 、 内金属介电层(IMD)h

14、ost:主机 Hot bake 烘烤 hot carriers:热载流子 hydrophilic:亲水性 hydrophobic:疏水性 pn junction n:pn 结 Pod 装晶舟与晶片的盒子 Polymer 聚合物 POR Process of record post accel 后加速器 Plasma 电浆 PMD premetal dielectric 电容 PP p-doped plus(P+) P 型重掺杂 PR Photo resisit 光阻 PR photo resist 光阻 pure water n 纯水。 半导体生产中所用之水。 PVD 物理气相淀积 PW p-

15、doped well P 阱 quad rupole lens 磁聚焦透镜 quartz carrier n 石英舟。 Queue time 等待时间 QTIME-DUMMY:从此步骤到 下一个步骤一共停留的时间范 围(超出范围会出问题) 显影前烘焙 (PEB):降低或消除降低或消除 驻波效应驻波效应R/C runcard 运作卡 SOG 是一种相当简易的平坦化技 术。因为介电层材料是以溶剂的 形态覆盖在硅片表面,因此 SOG 对高低起伏外观的“沟 填能力”非常好,可以避免纯粹 以 CVD 法制作介质层时所面 临的孔洞问题 Spacer :SPACER 工艺是通 过 LPTEPS ETCH B

16、ACK , 在 PLOY 侧壁形成两个侧壁 突出的工艺,用于源漏区注 入的自对准和减少由于源 漏横向扩散形成的沟道效应。LPTEOS 主要用于 SPACER 及电容氧化层。TEOS = Si(O C2H5)4名称:正硅酸乙脂,又称BIST,Built-in Self Test 内建的自测试 Bus Route 总线布线 Carbide 碳 circuit diagram 电路图 Circuit 电路基准 Clementine 专用共形开线设计 Cluster Placement 簇布局 CM 合约制造商 COF Chip On FPC 将 IC 固定于柔性线路板上 COG Chip On Glass 将芯偏固定于玻璃上 Common Impedance 共模阻抗 component video - 分量视频 Composite video - 复合视频 Concurrent 并行设计 Constant Source 恒压源 Cooper Pour 智能覆铜 Crosstalk 串扰 CRT Cathode Ra

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号