EDA课程的的设计教学大纲2006

上传人:平*** 文档编号:4345154 上传时间:2017-08-18 格式:DOC 页数:6 大小:49.50KB
返回 下载 相关 举报
EDA课程的的设计教学大纲2006_第1页
第1页 / 共6页
EDA课程的的设计教学大纲2006_第2页
第2页 / 共6页
EDA课程的的设计教学大纲2006_第3页
第3页 / 共6页
EDA课程的的设计教学大纲2006_第4页
第4页 / 共6页
EDA课程的的设计教学大纲2006_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《EDA课程的的设计教学大纲2006》由会员分享,可在线阅读,更多相关《EDA课程的的设计教学大纲2006(6页珍藏版)》请在金锄头文库上搜索。

1、编 号:0400850课程名称: EDA 与数字系统课程设计英文名称: Electronics Design Automation实验指导书名称:EDA 与数字系统设计李国丽等编著,机械工业出版社一、学时学分总学时: 一周 学分: 1 实验时数:30 开课学期:5二、实验目的EDA 与数字系统课程设计 (注:EDA 即电子设计自动化, Electronics Design Automation)是继模拟电子技术基础 、 数字电子技术基础 、 电子技术基础实验课程后,电气类、自控类和电子类等专业学生在电子技术实验技能方面综合性质的实验训练课程,是电子技术基础的重要组成部分,其目的和任务是通过一周

2、的时间,让学生掌握 EDA 的基本方法,熟悉一种 EDA 软件(MAXPLUS2) ,并能利用 EDA 软件设计一个电子技术综合问题,并在实验板上成功下载,为以后进行工程实际问题的研究打下设计基础。三、实验基本原理EDA 技术是设计数字系统的主要方法,是以数字逻辑电路的理论为基础,综合计算机辅助设计(CAD ) 、Verilog HDL 硬件描述语言和可编程逻辑器件等应用知识,在计算机上实现数字逻辑电路的设计输入、设计仿真和综合,把设计结果下载到实验板上的 PLD 器件中,在实验板上实现设计验证。四、实验基本要求1、通过课程设计使学生能熟练掌握一种 EDA 软件(MAXPLUS2 )的使用方法

3、,能熟练进行设计输入、编译、管脚分配、下载等过程。2、 通过课程设计使学生能利用 EDA 软件(MAXPLUS2 )进行至少一个电子技术综合问题的设计(内容可由老师指定或自由选择) ,设计输入可采用图形输入法或 Verilog HDL 硬件描述语言输入法。3、 通过课程设计使学生初步具有分析、寻找和排除电子电路中常见故障的能力。4、 通过课程设计使学生能独立写出严谨的、有理论根据的、实事求是的、文理通顺的字迹端正的课程设计报告。五、考核与报告考查形式为:结合课程设计中的能力表现和设计报告,综合评分六、主要仪器设备计算机、EDA 软件(MAXPLUS2) 、下载实验箱。七、实验项目与内容提要序号

4、实 验名 称内 容提 要每组人数实验时数实验要求实验类别开出时间所在实验室1 EDA 软件使用练习 MAXPLUS2 1 4 必开 验证 2 简单逻辑电路设计练习3-8 线译码器、4位二进制计数器、十进制计数器、六十进制计数器 1 8 必开 验证 3 扫描电路练习动态扫描练习 1-4-11-4-21 6 必开 验证 4 数字系统设计从第 4 章的数字系统设计问题中选择至少一个系统设计问题,进行系统的方案设计、输入、仿真验证、下载、FPGA 验证,并写出设计报告1 12 必开 设计 EDA 实验室八、适用专业自动化、电气工程及其自动化、生物医学工程。附:综合设计内容设计一 数字式竞赛抢答器1、设

5、计一个可容纳 6 组(或 4 组)参赛的数字式抢答器,每组设一个按钮,供抢答使用。2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。3、设置一个主持人“复位” 按钮。4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出23 秒的音响。5、设置一个计分电路,每组开始预置 100 分,由主持人记分,答对一次加 10 分,答错一次减 10 分。教学提示1、 此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到第一信号后将输入封锁,使其它组的抢答信号无效。2、 形成第一抢答信号后,用编码、译码及数码显示电路

6、显示第一抢答者的组别,用第一抢答信号推动扬声器发出音响。3、 计分电路采用十进制加减计数器、数码管显示,由于每次都是加减 10 分,所以个位始终为零,只要十位、百位进行加减运算即可。设计二 数字钟1、 设计一个能显示1/10秒、秒、分、时的 12小时数字钟。2、 熟练掌握各种计数器的使用。3、 能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。4、 能用低位的进位输出构成高位的计数脉冲。教学提示1、时钟源使用频率为0.1Hz的连续脉冲。2、设置两个按钮,一个供“开始”及“ 停止”用,一个供系统“ 复位”用。3、时钟显示使用数码管显示。4、“时显示”部分应注意12点后显示1点。5、注意

7、各部分的关系,由低位到高位逐级设计、调试。设计三 数字频率计1、 设计一个能测量方波信号的频率的频率计。2、 测量的频率范围是0999999Hz。3、 结果用十进制数显示。教学提示1、 脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N 为计数器所累计的脉冲个数,T为产生N 个脉冲所需的时间。所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。2、 被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。3、 再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms,10ms ,0.1s,1s等,时基信号的选择可以控制,即量程可以改变。4、

8、 时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时基信号的一个周期) ,输入信号才通过主控门。5、 f=N/T,改变时基信号的周期T,即可得到不同的测频范围。6、 当主控门关闭时,计数器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数器和所有触发器复位,为新的一次采样做好准备。7、 改变量程时,小数点能自动移位。设计四 拔河游戏机1、 设计一个能进行拔河游戏的电路。2、 电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。3、 游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一

9、次。4、 亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。5、 用数码管显示获胜者的盘数。教学提示1、 按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。2、 用可逆计数器的加、减计数输入端分别接受两路脉冲信号,可逆计数器原始输出状态为0000,经译码器输出,使中间一只二极管发亮。3、 当计数器进行加法计数时,亮点向右移;进行减法计数时,亮点向左移。4、 由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止计数。5、 将双方终端二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应

10、的计数器进行一次计数,这样得到双方取胜次数的显示。6、 设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零。设计五 乒乓球比赛游戏机1、 设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。2、 用8个(或更多个)LED 排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。3、 当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。4、 一方得分时,电

11、路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。5、 设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。6、 甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球才有效。教学提示1、 用双向移位寄存器的输出端控制LED显示来模拟乒乓球运动的轨迹,先点亮位于某一方的第1个LED,由击球者通过按钮输入开关信号,实现移位方向的控制。2、 也可用计数译码方式实现乒乓球运动轨迹的模拟,如利用加/减计数器的2个时钟信号实现甲、乙双方的击球,由表示球拍的按钮产生计数时钟,计数器的输出状态经译码驱动LED发亮。3、 任何时刻都保持一个L

12、ED发亮,若发亮的LED运动到对方的终点,但对方未能及时输入信号使其向相反方向移动,即失去1分。4、 控制电路决定整个系统的协调动作,必须严格掌握各信号之间的关系。设计六 交通信号等控制器1、 设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。2、 红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。3、 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。4、 主、支干道均有车时,两

13、者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。5、 在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。教学提示1、 主、支干道用传感器检测车辆到来情况,实验电路用逻辑开关代替。2、 选择 1HZ 时钟脉冲作为系统时钟。3、 45 秒、25 秒、5 秒定时信号可用顺计时,也可用倒计时,计时起始信号由主控电路给出,每当计满所需时间,即向主控电路输出“时间到”信号,并使计数器清零,由主控电路启、闭三色信号灯或启动另一计时电路。4、 主控电路是核心,这是一个时序电路,其输入信号为:车辆检测

14、信号(A,B, ;45 秒、25 秒、5 秒定时信号(C,D,E) ,其输出状态控制相应的三色灯。主控电路可以由两个 JK 触发器和逻辑门构成,其输出经译码后,控制主干道三色灯 R、G、Y 和支干道三色灯 r、g、y。设计七 电子密码锁1、 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;2、 在锁的控制电路中储存一个可以修改的 4 位代码,当开锁按钮开关(可设置成 6 位至 8 位,其中实际有效为 4 位,其余为虚设)的输入代码等于储存代码时,开锁;3、 从第一个按钮触动后的 5 秒内若未将锁打开,则电路自动

15、复位并进入自锁状态,使之无法再打开,并由扬声器发出持续 20 秒的报警信号。教学提示1、 该题的主要任务是产生一个开锁信号,而开锁信号的形成条件是,输入代码和已设密码相同。实现这种功能的电路构思有多种,例如,用两片8位锁存器,一片存入密码,另一片输入开锁的代码,通过比较的方式,若两者相等,则形成开锁信号。2、 在产生开锁信号后,要求输出声、光信号,声音的产生由开锁信号触动扬声器工作,光信号由开锁信号点亮 LED 指示灯;3、 用按钮开关的第一个动作信号触发一个 5 秒定时器,若 5 秒内无开锁信号产生,让扬声器发出特殊音响,以示警告,并输出一个信号推动 LED 不断闪烁。设计八 彩灯控制器1、

16、 设计一个彩灯控制器,使彩灯(LED 管)能连续发出四种以上不同的显示形式;2、随着彩灯显示图案的变化,发出不同的音响声。教学提示1、彩灯显示的不同形式可由不同进制计数器驱动 LED 显示完成;2、 音响由选择不同频率 CP 脉冲驱动扬声器形成。设计九 脉冲按键电话显示器1、 设计一个具有 8 位显示的电话按键显示器;2、 能准确地反映按键数字;3、 显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;4、 *设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码;5、 *挂机 2 秒后或按熄灭按键,熄灭显示器显示。教学提示1、 利用中规模计数器的予置数功能可以实现不同的按键对应不同的数字;2、 设置一个计数器记录按键次数,从而实现数字显示的移位。设计十 简易电子琴1、 设计一个简易电子琴;2、 利用实验箱的脉冲源产生 1,2,3, 。 。 。共 7 个或 14 个音阶信号;3、 用指示灯显示节拍;4、 *能产生颤音效果。教学提示

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 中学教育 > 试题/考题 > 初中试题/考题

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号