EDA程序设计试题及答案

上传人:101****457 文档编号:40640256 上传时间:2018-05-26 格式:DOC 页数:24 大小:1.15MB
返回 下载 相关 举报
EDA程序设计试题及答案_第1页
第1页 / 共24页
EDA程序设计试题及答案_第2页
第2页 / 共24页
EDA程序设计试题及答案_第3页
第3页 / 共24页
EDA程序设计试题及答案_第4页
第4页 / 共24页
EDA程序设计试题及答案_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《EDA程序设计试题及答案》由会员分享,可在线阅读,更多相关《EDA程序设计试题及答案(24页珍藏版)》请在金锄头文库上搜索。

1、1请画出下段程序的真值表,并说明该电路的功能。 LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY aaa ISPORT( oe,dir :IN STD_LOGIC ;a,b : INOUT STD_LOGIC_VECTOR(7 DOWNTO 0 ) ;END aaa ; ARCHITECTURE ar OF aaa IS BEGINPROCESS(oe , dir ) 输入 输出BEGIN a1 a0 x3 x2 x1 x0IF oe=0 THEN ab THEN ahbb100aQQQQQQQain,b=bin,co=d,so=e);u2 :

2、 h_adder port map(a=e,b=cin,co=f,so=sum);u3 : or2a port map(d,f,cout); end fd1; (5)1. 试用 VHDL 语言编程实现一个总线开关,其真值表如下:输 入输 出 enselectA0A6B0B6Y0Y6 0x“ZZZZZZZ” 10A 11B1. 总线开关的参考程序如下: LIBRARY ieee; USE ieee.std_logic_1164.all; (1) ENTITY aaa ISPORT( en, select : IN STD_LOGIC ;A, B : IN STD_LOGIC_VECTOR(6 D

3、OWNTO 0 ) ;Y : OUT STD_LOGIC_VECTOR(6 DOWNTO 0)END aaa ; (4) ARCHITECTURE ar OF aaa IS BEGINPROCESS(en, select )BEGINIF en=0 THEN Y pstate pstate pstate pstate pstate pstate pstate dout dout dout dout dout dout dout0) ; -计数器异步复位 ELSIF CLKEVENT AND CLK=1 THEN -检测时钟上升沿IF EN = 1 THEN -检测是否允许计数(同步使能)IF

4、CQI 0); -大于9,计数值清零 END IF;END IF;END IF; IF CQI = 9 THEN COUT cout cout cout cout LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S LED7S NULL ;END CASE ;END PROCESS ;END ; 关于数据选择器饿设计关于数据选择器饿设计1、4选选1多路选择器的多路选择器的IF语句描述语句描述library ieee;use ieee.std_logic_1164.al

5、l;entity multiplexers_1 isport (a, b, c, d : in std_logic;s : in std_logic_vector (1 downto 0);o : out std_logic);end multiplexers_1;architecture archi of multiplexers_1 isbeginprocess (a, b, c, d, s)beginif (s = “00“) then o o o o o code code code code code code code code code = B else 0;end archi;

6、2、4位比较器(顺序描述)位比较器(顺序描述)Library ieee;Use ieee.std_logic_1164.all;Entity comp is Port (a,b:in std_logic_vector ( 3 downto 0);C: out std_logic_vector ( 2 downto 0);End comp;Architecture ex3 of comp is Begin Process ( a,b )BeginIf ab then cb else“010“ when a=b else“001“;End archi;根据原理图编程根据原理图编程Library i

7、eee;Use ieee.std_logic_1164.all;Entity adder is Port ( ai,bi: in std_logic;ci,si: out std_logic);End adder;Architecture archi of adder is Signal e,f: std_logic;beginE0);elsif rising_edge(clk)thenif en=1thenif up=1thencount=count+1;else count=count-1;end if;end if;end if;end process;sum=count;end a;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 电子/通信 > 综合/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号