VHDL整理,华工VHDL,数字系统设计,习题答案参考,试卷资料,电信学院大三

上传人:飞*** 文档编号:37930157 上传时间:2018-04-24 格式:PDF 页数:20 大小:923.67KB
返回 下载 相关 举报
VHDL整理,华工VHDL,数字系统设计,习题答案参考,试卷资料,电信学院大三_第1页
第1页 / 共20页
VHDL整理,华工VHDL,数字系统设计,习题答案参考,试卷资料,电信学院大三_第2页
第2页 / 共20页
VHDL整理,华工VHDL,数字系统设计,习题答案参考,试卷资料,电信学院大三_第3页
第3页 / 共20页
VHDL整理,华工VHDL,数字系统设计,习题答案参考,试卷资料,电信学院大三_第4页
第4页 / 共20页
VHDL整理,华工VHDL,数字系统设计,习题答案参考,试卷资料,电信学院大三_第5页
第5页 / 共20页
点击查看更多>>
资源描述

《VHDL整理,华工VHDL,数字系统设计,习题答案参考,试卷资料,电信学院大三》由会员分享,可在线阅读,更多相关《VHDL整理,华工VHDL,数字系统设计,习题答案参考,试卷资料,电信学院大三(20页珍藏版)》请在金锄头文库上搜索。

1、VHDL 重点1.CPLD :Complex programmable logic devices (based on 乘积项 ) 2.FPGA :Field Programmable Gate Array (based on LUT)3.PROM :与阵列固定,或阵列可编程(巧记,有个 O,联想到 or,所以是或) 4.PLA:与或阵列均可编程(巧记,pla,两个音,所以与或都有)5.PAL,GAL:与阵列可编程,或阵列不可编程(巧记,一个音,是与)6.Digital system design is based on programmable logic devices (PLD).It

2、is top-downdesign,suing EDA. 7.VHDL a) Strong capability of system description b) Independence of device and hardware technique c) IEEE standard d) Flexible design method(behav,struc,dataflow) e) Readable program f)As an HDL,executed concurrently structurc of VHDL: (目测填空题)LIBRARY , Package, Entity,

3、Architecture, Configuration, Block, Sub-program , 8.Default Library (默认库) :STD,work 9.MOD(取模) ,REM(取余),ABS (取绝对值),SLA(算数左移)SRA(算数右移),SRL(逻辑右移),SLL(逻辑左移),ROR,ROL 10.Different package-different physic circuit;11.Entity-outward appearence 12.Architecture-describe the function or structure of the circui

4、t 13.Input-can not be assign the value 14.Output-can not be the source of assignment 15.Inout-bi-directional port 16.Buffer-serve as an output,its value can be reloaded 17.Architecture description a) Behavior description b) Data flow description c) Structure description 18.字符用 ,字符串用 ” ”19.实体名不能用数字开头

5、,实体名要与文件名一致20.VHDL data type Integer, real, natural, bit, bit_vector, std_logic, std_logic_vector, character, string, boolean, time, severity level 21. Z high impedance 22. X forcing unknown 23.自定义数组 TYPE word8 IS ARRY (1 TO 8) OF BIT; 24.VHDL data object: constant, variable, signal 25.signal: 1.has

6、 corresponding hardware, 2.global,for multiple process 3.assignment has delay, 4.can carry history information 26.variable:1.has no corresponding hardware 2.local,valid in its process only 3.assignment takes effect immediately 4.has current value only 27.sequential statements should be packaged in p

7、rocess 28.(重点) when .else是并行语句,不用process包起来,但是它是具有顺序性的, 考试时一般考它与if 语句的改写 ,注意, if 语句是顺序语句,得用process包起来29.(重点) with.select 是并行语句,也不用process包起来,它没有顺序性,且条件必须涵盖完全,也就是说若没有全例举出来最后要有.when others ,考试一般考改写 case语句注意:if 语句和 case也可以相互改写, 要根据题目改写, 书写顺序要小心,特别是 优先级编码器30.PROCESS,注意,它是,只是它里面是31.process的特点(一般是选择题)32.s

8、equential statement( 顺序语句 )有 if statement ,case statement ,loop statement,next statement,exit statement,wait statement ,return,null 33.两种电路的区别34.选择题考 encoder和 decoder要看清楚一般考改写 wait 语句,有 wait on+信号表 和 wait until+条件 两种,注意,PROCESS 还是要写的,只是不用加()而已,别以为有wait就不能有 PROCESS inputs 是的是 decoder inputs 是的是 encod

9、er 计算机存储信息和传输信息都是binary codes,所以 encoder是为了方便35.要会画 decoder和 encoder的Half adder 里面,Sum用 or, carry 用 and 36.37.Full adder有和两种38.这个要会画39.sequential logic circuits 40.同步(Moore 型就是同步的 ,同步可以消除亚稳态)简单可靠,但是耗能41.异步(Mealy 型就是异步的,容易出现亚稳态) 42.D 锁存器(重)名词解释分别为:锁存器,触发器,寄存器,计数器,乘法器43.触发器44.注意clk 只能是std_logic, 不能是bi

10、t45.带复位和 Qbar的 D 触发器要小心 (考改错题 ) 其实凡是带 bar 的都是这样设一个signal作为中间值的,如果不这样做,直接在 PROCESS用 D 给 Q 和用 not D 给 Qbar赋值,综合时会综合成两个触发器。 注意用 signal才能放到 PROCESS外,用 variable的话就要放 PORCESS里面,建议用 signal 考点 1:加一个信号作为中间值考点 3:Q 和 Qbar 赋值放到PROCESS 外面考点 2:复位是不用考虑时钟沿的, 所以写在时钟沿检测之前46.register寄存器,(D_F.F其实就是一个 one bit的 register)

11、多位的 register可以用多个 D_F.F 组成47.这句赋值的意思是Q 赋全 0 48.移位寄存器分别为串进串出,串进并出,并进串出,(S 是串, P 是并)串进并出的例子49.State machine状态机finite number of states有限种状态FSM 就是有限状态的状态机其实它是用来描述sequential logic 的Next state logic (一般用 ns)Combinational logic sequential logic Output logic(一般用 op) Memory units(一般用 seq) 串进,第n-1 位被踢掉,所以最高位变

12、成n-2位并出分别对 Next state logic,Output logic,Memory units 写进程,这就是课本分三进程的分法,其中Next state logic,Output logic 可以放在一个进程里,这样就是双进程的状态机了 (考试要求掌握双进程状态机)50.Race and Hazard(竞争与冒险 )Signals through different paths arrive at the same node with time difference because of different propagation delays, which may causes

13、 transient error in output 说白了,就是没有同步,超级赛亚人合体没同步就会变成“变体”所以避免竞争冒险可以用同步时序逻辑电路Race and Hazard can be avoided by synchronous(同步) sequential logic 51.ASM 图的特点1.used for synchronous sequential system(用于同步时序逻辑电路)2.ASM can represent the exact timing relations between state transitions (可以表现不同状态之间的时序关系)3.It

14、resembles software flow chart, but it has corresponding hardware structure.(它有对应的硬件)52,图(重点)onditional output box 条件框Decision box 判断框State box状态框注意表示 R 在状态末赋 0值,然后保持,直到下次赋值和一样,都是表示在这个状态时Z 赋值 1,过了这个状态就变为0 53.要会根据 ASM 图画波形图(注意条件框与它所属的状态框是同一个状态的,画波形图的时候要小心)54.要会根据电路图画ASM 图(重重重重点,大题)方法,先根据电路图写驱动方程和输出方程,

15、然后根据方程画状态转换表,再根据状态转换表画ASM 图R major_green major_green IF (car = 1 ) THEN nx_state IF (timed = 1 ) THEN nx_state clk_t, rst = rst_t, q = q_t ); STIMULUS: process begin rst_t function simulation-synthesize-adaptation- timing simulation-programming and download-test 另外固有延时和传输延时要搞懂,可能会画波形图 81.最后,重点词汇 Dec

16、oder 译码器 Encoder 编码器 Flip-flop 触发器 Latch 锁存器 Register 寄存器 Combinational logic circuit 组合逻辑电路 Structure 结构 Reflect 显示 Feedback 反馈 Multiplexer 选择器 Tri-state gate 三态门 synthesize 综合 Simulation 仿真 Corresponding 相应的 Format 码制 Conversion 转换 Sensitivity list 敏感参数表 Operation 操作 Transmission 传输 Priority encoder 优先级编码器 Implementation 实现 Arithmetic 算术 Addition 加 Subtraction 减 Multiplication 乘 Division 除 Less significant stage 低位Account fo

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 其它文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号