eda试验-数字时钟实验报告

上传人:第*** 文档编号:37793722 上传时间:2018-04-22 格式:DOC 页数:10 大小:324KB
返回 下载 相关 举报
eda试验-数字时钟实验报告_第1页
第1页 / 共10页
eda试验-数字时钟实验报告_第2页
第2页 / 共10页
eda试验-数字时钟实验报告_第3页
第3页 / 共10页
eda试验-数字时钟实验报告_第4页
第4页 / 共10页
eda试验-数字时钟实验报告_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《eda试验-数字时钟实验报告》由会员分享,可在线阅读,更多相关《eda试验-数字时钟实验报告(10页珍藏版)》请在金锄头文库上搜索。

1、EDA 试验数字时钟一实验目的(1) 熟悉在 EDA 平台上进行数字电路集成设计的整个流程。(2) 掌握 Max Plus 软件下的简单的图形、VHDL 文本等输入的设计方法。(3) 学习使用 JTAG 借口下载逻辑电路到可编程芯片,并能调试到芯片正常工作。二实验原理本实验是实现数字电子钟,直接用数字显示时间的计时装置。分别设计出时间设置电路,走时电路,打铃控制电路,显示电路。三实验设计(1)在这个设计中我使用 VHDL 设计时间设置电路:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE I

2、EEE.STD_LOGIC_ARITH.ALL;ENTITY control ISPORT(reset: IN STD_LOGIC;begend: IN STD_LOGIC;keyup: IN STD_LOGIC;enter: IN STD_LOGIC;settime: OUT STD_LOGIC;hourhset: OUT INTEGER RANGE 0 TO 2;hourlset: OUT INTEGER RANGE 0 TO 9;minhset: OUT INTEGER RANGE 0 TO 5;minlset: OUT INTEGER RANGE 0 TO 9;sechset: OUT

3、 INTEGER RANGE 0 TO 5;seclset: OUT INTEGER RANGE 0 TO 9);END control;ARCHITECTURE archi OF control ISTYPE STATE IS (sethh,sethl,setmh,setml,setsh,setsl,ini);SIGNAL adjsta: STATE;SIGNAL setmark: STD_LOGIC;SIGNAL seclow,minlow,hourlow: INTEGER RANGE 0 TO 9;SIGNAL sechigh,minhigh: INTEGER RANGE 0 TO 5;

4、SIGNAL hourhigh: INTEGER RANGE 0 TO 2;BEGINseclsetadjstaadjstaadjstaadjstaadjstaadjstaadjstahourhighhourlowminhighminlowsechighseclowNULL;end case; end if;END PROCESS;END archi; 走时电路: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity clo

5、ck is port ( clk:in std_logic; settime:in std_logic; hourhset:in integer range 0 to 2; hourlset:in integer range 0 to 9; minhset:in integer range 0 to 5; minlset:in integer range 0 to 9; sechset:in integer range 0 to 5; seclset:in integer range 0 to 9; hourhdis:out integer range 0 to 2; hourldis:out

6、 integer range 0 to 9; minhdis:out integer range 0 to 5; minldis:out integer range 0 to 9; sechdis:out integer range 0 to 5; secldis:out integer range 0 to 9); end clock; architecture archi of clock is signal seclow,minlow,hourlow:integer range 0 to 9; signal sechigh,minhigh:integer range 0 to 5; si

7、gnal hourhigh:integer range 0 to 2; begin secldisstop and cntre+stop and cnt2*re+stop and cntstop and cntre+stop and cnt2*re+stop and cnt4*re+stop then alarm=0; else alarm=1; end if; else alarm=0; end if; end process; end archi;(2)设计模块及接口:(3)选择可编程逻辑器件:实验室里提供的是 EP1K30QC208-3。(4)指定管脚: (5)编译文件,如图所示,编译成功:(6)设定接口类型,选择 ByteBlaster(6)通过 JTAG 接口将程序下载到芯片(7)观察实验板上的情况,调节相应开关,实验结果与预想一致。三实验总结此次试验是我第一次做 EDA 试验,由于第一次学习使用,自然遇到了一些意想不到的问题,通过老师的悉心指导,与同学的互相交流,自己的实践总结经验把问题都最终解决。设计平台 Max+Plus 2 相对简洁易用,我感受到了可编程芯片的强大能力。这次的数字电子钟采用的是从上至下的设计方法,实验结果也与预想一致。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 其它办公文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号