毕业论文-基于FPGA的8位ALU设计与仿真终稿

上传人:飞*** 文档编号:36899424 上传时间:2018-04-04 格式:DOC 页数:24 大小:362KB
返回 下载 相关 举报
毕业论文-基于FPGA的8位ALU设计与仿真终稿_第1页
第1页 / 共24页
毕业论文-基于FPGA的8位ALU设计与仿真终稿_第2页
第2页 / 共24页
毕业论文-基于FPGA的8位ALU设计与仿真终稿_第3页
第3页 / 共24页
毕业论文-基于FPGA的8位ALU设计与仿真终稿_第4页
第4页 / 共24页
毕业论文-基于FPGA的8位ALU设计与仿真终稿_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《毕业论文-基于FPGA的8位ALU设计与仿真终稿》由会员分享,可在线阅读,更多相关《毕业论文-基于FPGA的8位ALU设计与仿真终稿(24页珍藏版)》请在金锄头文库上搜索。

1、黄山学院本科论文设计1本科生毕业论文(设计)本科生毕业论文(设计)基于基于 FPGAFPGA 的的 8 8 位位 ALUALU 设计与仿真设计与仿真姓 名: 石 鹏 指导教师: 华 婷 婷 院 系: 信息工程学院 专 业: 计算机科学与技术 提交日期: 黄山学院本科论文设计2目目 录录中文摘要.3 英文摘要.4 引 言.5 1、绪 论.6 1.1 算术逻辑单元 ALU 概述 .6 1.2 FPGA 概述.6 1.3 VHDL 概述.7 2. ALU 的设计.8 2.1 MAX+plus 及 Quartus软件简介.82.1.1 MAX+plus概述.8 2.2.2 Quartus | 概述.8

2、 2.2 设计思想.9 2.3 算术运算单元的设计 .11 2.3.1 算术运算单元的 Entity 定义.11 2.3.2 算术单元的 Architecture 描述.12 2.4 逻辑运算单元的设计.12 2.4.1 完成 Entity 定义.13 2.4.2 Architecture 描述.13 2.5 ALU 的 VHDL 设计.142.6 八位 ALU 的 VHDL 设计的源程序代码.15 2.7 编译结果.19 3. ALU 仿真.19 4. 结束语.20 参考文献.21 致谢.22黄山学院本科论文设计3中文摘要中文摘要基于基于 FPGA 的的 8 位位 ALU 设计与仿真设计与仿

3、真摘摘 要要:本文介绍了一种使用现场可编程逻辑门阵列(Filed Programmable Gate Array,FPGA)和硬件描述语言(Very-High-Speed Integrated Circuit Hardware Description Language,VHDL)进行算术逻辑单元(Arithmetic Logic Unit,ALU)的设计方法。并在加法器模块的设计中使用了超前进位的方 法。使得所设计的 ALU 具有很好的稳定性和较高的速度。完成加法、减法、带 进位加、带进位减、加 1、减 1、传送、与、或、非、异或等操作,用 VHDL 语言和基于 FPGA 设计 8 位算术逻辑

4、单元。它具有多种指令,实现了 8 位操作 数的各种运算,最后采用 VHDL 语言中的语句对各模块进行整合,并对其进行 仿真。关关 键键 词词:ALU;FPGA;VHDL;仿真。黄山学院本科论文设计4英文摘要英文摘要he Design of ALU Based on FPGAAbstract:This article introduces a method that a programmable logic device using FPGA and VHDL to design ALU. And adder module is used in the design-ahead approach

5、. ALU is designed to make an excellent stability and high speed. To complete the addition, subtraction, bit into Canada, into the bit minus, plus one, minus one, transmission, and, or, non-, XOR operation and so on., Using VHDL language and FPGA-based design of arithmetic logic unit 8, it has many k

6、inds of instructions, can achieve the four operands of various computing, and finally the use of VHDL language in the statement on the module integration, and simulation.Key Words:ALU; FPGA; VHDL; simulation黄山学院本科论文设计5引引 言言以往的数字集成电路设计手段主要是采用传统的搭积木方式,随着半导体集成技术和计算机技术的发展,电子系统的设计方法发生了很大变化。20 世纪90 年代,电子设

7、计自动化(EDA)技术被广泛应用于电子设计领域。采用可编程逻辑器件和 EDA 技术使得电子系统的设计质量大大提高。专用集成电路(ASIC)已被普遍应用,而基于 ACIC 的 FPGA 的应用最为广泛,采用它可以缩短产品的上市时间,降低开发成本,此外用可编程逻辑器件代替传统的普通集成电路已成为一种发展的趋势。可 FPGA 以其高集成度、高速度、开发周期短、稳定性好而受到了人们的青睐。由于算术逻辑单元(ALU)在运算中对系统性能要求很高,而采用中小规模的集成电路设计的系统既庞大又存在稳定性的问题。因此,用可编程逻辑器件 FPGA 来实现算术逻辑单元是一个很好的选择。而硬件描述语言(HDL)是使用可

8、编程逻辑器件的不可缺少的工具,所以本文选用VHDL 语言。设计 8 位算术逻辑单元,来实现算术逻辑单元的功能,又通过纯组合逻辑电路和超级进位方法的应用,使得在高速 FPGA 的基础上,实现了算术逻辑单元在速度上的进一步优化。使用 FPGA 和 VHDL 语言进行数字系统设计,可随时改变器件内部的逻辑功能和引脚的定义 ,可自动的进行逻辑综合与仿真,自动对目标器件进行下载编程,使复杂的硬件设计变得如同软件设计一样简单。 本文将主要分为 3 个章节,第一章为绪论,主要介绍了算术逻辑单元的原理、功能等知识和可编程逻辑器件的 FPGA 及 VHDL 的介绍。第二章是分为 6节,分别叙述 ALU 的设计,先后从 ALU 的总体结构图、算术电路单元、逻辑电路单元、ALU 的 VHDL 总体设计代码,编译等详细地讲述 ALU 的设计。第三章为 ALU 的仿真,先简单介绍了计算机仿真的概念与原理和方法,然后将本文的 ALU 结合仿真技术,我们进行软件仿真,并得出仿真结果的波形输出。黄山学院本科论文设计61 1、绪、绪 论论1.1 算术逻辑单元算术逻辑单元 ALU 概述概述算术逻辑单元简称 ALU,是一种功能较强的组合逻辑电路,有时被称为多功能函数发生器。ALU 的实现,在并行进位加法器的基础上,再加上一些逻辑电路和功能控制信号线,可形成多

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 行业资料 > 教育/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号