电子信息工程基于VHDL的100进制计数器报告

上传人:飞*** 文档编号:36329787 上传时间:2018-03-27 格式:DOC 页数:11 大小:112KB
返回 下载 相关 举报
电子信息工程基于VHDL的100进制计数器报告_第1页
第1页 / 共11页
电子信息工程基于VHDL的100进制计数器报告_第2页
第2页 / 共11页
电子信息工程基于VHDL的100进制计数器报告_第3页
第3页 / 共11页
电子信息工程基于VHDL的100进制计数器报告_第4页
第4页 / 共11页
电子信息工程基于VHDL的100进制计数器报告_第5页
第5页 / 共11页
点击查看更多>>
资源描述

《电子信息工程基于VHDL的100进制计数器报告》由会员分享,可在线阅读,更多相关《电子信息工程基于VHDL的100进制计数器报告(11页珍藏版)》请在金锄头文库上搜索。

1、可编程逻辑器件应用可编程逻辑器件应用项项目目报报告告书书项目名称:100 进制计数器指导老师: 龚兰芳姓 名: 李诗华学 号: 080212215班 级: 08 电子 2 班广东水利电力职业技术学院广东水利电力职业技术学院目录目录一、设计要求一、设计要求-二、设计二、设计目目的的-三、设计三、设计方方案案-四、设计四、设计原原理理-五、硬件五、硬件要要求求-六、调试六、调试与与问题疑点问题疑点-七、设七、设计计步骤步骤-八、体八、体会会、对设计工作的总结与展望、对设计工作的总结与展望-一、设计要求一、设计要求(1)设计带计数允许和复位输入的 100 进制计数器,要求完成顶层电路图和底层 VHD

2、L 文件;(2)进行功能仿真;(3)下载并验证计数器功能;(4)按上述步骤设计 100 进制计数器;(5)为上述设计建立元件符号。二、设计目的二、设计目的(1)设计一个带计数允许和复位端的 100 进制计数器。(2)熟练掌握各种计数器类型模块的描述方法。(3)能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。(4)体会 EDA 技术的优点。三、设计方案三、设计方案设计两个按键,一个有停止、开始的功能,另一个有复位清零的功能,具体 的看表 1-1。表 1-1按键状态功能 En=1开始计时En En=0停止计时 RstRst=0复位清零通过分频分出 1KHZ 对数码管的位地址进行扫描,而

3、 100KHZ 利用 if-else 设 计计数程序,并输出显示信号。利用多个进程来完成以上的设计方案。四、设计原理四、设计原理library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity bcd_100d isport(en,rst,clk:in std_logic;duan:out std_logic_vector(5 downto 0);cout:out std_logic_vector(6 downto 0);end;archite

4、cture one of bcd_100d issignal clk_1k:std_logic;signal clk_100h:std_logic;signal cnt6:integer range 0 to 3;signal data:std_logic_vector(3 downto 0);signal data1:std_logic_vector(3 downto 0);signal dout:std_logic_vector(5 downto 0);signal s:std_logic_vector(6 downto 0);signal led1,led2,led3,led4:std_

5、logic_vector(3 downto 0);beginprocess (clk)variable cnt1:integer range 0 to 250;variable cnt2:integer range 0 to 100;beginif clkevent and clk=1 thenif cnt1=250 thencnt1:=0;if cnt2=100 thencnt2:=0;clk_1kdoutdoutnull;end case;end process;process(dout)begincase dout iswhen“111110“=datadatanull;end case

6、;end process;process(clk_100h)beginif rst=0 thenled2led1led2led2led1ssssssssssnull; end case;end process;duan=dout;cout=s;end;五、硬件要求五、硬件要求表 2-1六、调试与问题疑点六、调试与问题疑点问题疑点: 1. 怎样实现两数码管同时显示. 2. 怎样实现数字的循环. 调试: 1. 编译无误,然后就对照自己所设计的功能按键状态(表 1-1),看是否符合 设计结果要求. 2. 检查无误,然后对自己规定的设计方案分配引脚(表 2-1),进行编译无误, 就下载到实验板上来验证

7、设计效果.如果与自己的想法有出入,就要进行 修改最后要达到自己的结果. 3. 验证的结果与是否符合自己所规定的设计方案.七、设计步骤七、设计步骤100HZ 输出数码管段码信号1K分频进程计数进程显示进程数码管位地址扫描输出位选信号八、体会、对设计工作的总结与展望八、体会、对设计工作的总结与展望在这次设计实验中,我深刻地体会到思路清晰是十分行重要的,从分频开始,到数码管显示,再到实现计数。每一步都是非常重要的,刚开始时我就遇到了: 怎样实现两数码管同时显示, 怎样实现数字的循环这两个问题思路也很模糊,但参考了大量的资料后,我的思路也就渐渐地清晰了,问题也解决了。这使我明白了参考资料能使我们学到很多课堂上无法学到和知识。我相信在以后的设计中,只要肯思考就一定会有突破。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 企业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号