eda基于VHDL的序列检测器设计

上传人:飞*** 文档编号:30265289 上传时间:2018-01-28 格式:DOCX 页数:6 大小:85.70KB
返回 下载 相关 举报
eda基于VHDL的序列检测器设计_第1页
第1页 / 共6页
eda基于VHDL的序列检测器设计_第2页
第2页 / 共6页
eda基于VHDL的序列检测器设计_第3页
第3页 / 共6页
eda基于VHDL的序列检测器设计_第4页
第4页 / 共6页
eda基于VHDL的序列检测器设计_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《eda基于VHDL的序列检测器设计》由会员分享,可在线阅读,更多相关《eda基于VHDL的序列检测器设计(6页珍藏版)》请在金锄头文库上搜索。

1、课程报告基于 VHDL 的序列检测器设计课 程 名 称 EDA 技术实用教程 姓 名 卢泽文 李嘉阳 吴炽扬 学 号 200730530318 200730530311200730530326专 业 电气工程及其自动化 3 班 指导老师: 陈楚老师日期: 2010/12随着计算机的飞速发展,以计算机辅助设计为基础的电子设计自动化(EDA)技术已成为电子学领域的重要学科。EDA工具使电子电路和电子系统的设计产生了革命性的变化。序列检测器也称为串行数据检测器,它在数据通讯,雷达和遥测等领域中用于检测同步识别标志,是一种用来检测一组或多组序列信号的电路。本文输入的序列信号由自行设计的计数器和数据选择

2、器组成的序列信号发生器提供。1原理说明:序列检测器可用于检测一组或多组由二进制码组成的脉冲序列信号,当序列检测器连续收到一组串行二进制码后,如果这组码与检测器中预先设置的码相同,则输出 1,否则输出 0。由于这种检测的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及正确序列,知道在连续的检测中所收到的每一位码都与预置数的对应码相同。在检测过程中,任何一位不相等都将回到初始状态重新开始检测。2实验程序设计及程序分析和主要模块的代码:library ieee;use ieee.std_logic_1164.all;entity schk isport(din,clk,clr

3、:in std_logic;ab:out std_logic_vector(3 downto 0);end schk;architecture behav of schk issignal d:std_logic_vector(7 downto 0);signal q:integer range 0 to 8;type fsm_st is(s0,s1,s2,s3,s4,s5,s6,s7,s8); -数据类型定义,状态符号化signal current_state,next_state:fsm_st;begindqqqqqqqqq=8;next_state=s0;end case;end pro

4、cess;process(q) -检测结果判断输出beginif q=8 then ab=1010; -序列数检测正确,输出Aelse ab=1011; -序列数检测错误,输出 Bend if;end process; end behav;3原理图(顶层电路结构):clr为复位端,din 为置数端,ab为输出端。序列检测器是时序数字电路中非常常见的设计之一。它的主要功能是:将一个指定的序列从数字码流中识别出来。本文设计一个能检测出11100101序列的电路。从数据类型定义,状态符号化,然后 8 位待检预置数,设置主控时序进程和主控组合进程。在进行检测结果判断输出,如果序列数检测正确,输出A,若

5、序列数检测错误,输出B。序列信号检测器和序列信号发生器是基本的数字电路之一,不仅是学习电子技术应具有的基本知识,而且在电子、通信、控制等等很多领域具有广泛的应用。4仿真波形图:其中 ENDTIME=50.0us GRIDSIZE=100.0ns仿真波形分析:由上图可知,clr 为复位端,din 为置数端, ab 为输出端。先给 clr 为高电平清零,在置数 11100101,每个 clk 的上升沿到来时就检验,当全部的数都检验是正确的则输出 A,否则输出 B。由此仿真可以得出结论,该设计正确的。5结语:序列信号检测器和序列信号发生器是基本的数字电路之一,不仅是学习电子技术应具有的基本知识,而且在电子、通信、控制等等很多领域具有广泛的应用。我们用MAX+plus软件进行vhdl仿真取得了成功。MAX+plus提供了丰富的元件库及强大的仿真功能,使用更加方便。另外。由于本设计中电路是高校电工电子类课程中的基础电路可以将本设计作为一个提高型的实验,是一个很好的学习数字电路及vhdl仿真软件的方法。掌握使用VHDL 描述组合电路的基本方法,学会使用MAX+plus 对VHDL 代码进行综合和仿真,能够使用时序仿真功能对所设计模块进行仿真测试。对EDA技术的使用有了更进一步的认识及提高,VHDL初步设计,文本输入、原理图输入、结构电路和顶层电路的设计有了实战的了解,获益匪浅。

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号