EDA基础知识复习要点

上传人:飞*** 文档编号:30020133 上传时间:2018-01-26 格式:DOC 页数:8 大小:66KB
返回 下载 相关 举报
EDA基础知识复习要点_第1页
第1页 / 共8页
EDA基础知识复习要点_第2页
第2页 / 共8页
EDA基础知识复习要点_第3页
第3页 / 共8页
EDA基础知识复习要点_第4页
第4页 / 共8页
EDA基础知识复习要点_第5页
第5页 / 共8页
点击查看更多>>
资源描述

《EDA基础知识复习要点》由会员分享,可在线阅读,更多相关《EDA基础知识复习要点(8页珍藏版)》请在金锄头文库上搜索。

1、EDA知识要点:2.VHDL数据对象有: (1)常量(CONSTANT) (2)变量(VARIABLE) (3)信号(SIGNAL)3.VHDL语言中的逻辑操作符有: AND与、OR 或、NOT 非、NAND 与非、NOR 或非 XOR异或、XNOR 同或七种4.目前较流行的集成 EDA开发环境(软件)有:MAX+PULS和 QUARTUS II5.什么是 EDA技术?EDA 技术就是以计算机为工作平台、以 EDA软件工具为开发环境、以硬件描述语言为设计语言、以 ASIC(Application Specific Integrated Circuits)为实现载体的电子产品自动化设计的过程7.

2、写出实体中的端口(PORT)语句结构并说明其作用。由 PORT引导的端口说明语句是对一个设计实体界面的说明,端口为设计实体和外部环境的动态通信提供通道。格式为 PORT(端口名:端口模式 数据类型;。 。 。 。 。 。 。 。端口名:端口模式 数据类型;)8.简述 EDA技术经历了那几个发展阶段。1) CAD(计算机辅助设计)阶段2) CAE(计算机辅助工程)阶段3)ESDA(电子系统设计自动化)阶段9.写出元件例化语句语句格式,并说明其作用。元件例化语句由两部分组成,前一部分是把一个现成的设计实体定义为一个元件,第二部分则是此元件与当前设计实体中的连接说明,它们的完整的语句格式如下:COM

3、PONENT 元件名 IS -元件定义语句GENERIC (类属表) ;PORT(端口名表) ;END COMPONENT ;例化名:元件名 PORT MAP( -元件例化语句端口名=连接端口名,.) ; 11.结构体的语言格式与作用。ARCHITECTURE 结构体名 OF 实体名 IS(说明语句) 用来说明和定义数据对象 类型等,可省略BEGIN(功能描述语句) 用来描述内部电路功能的,不可省略END ARCHITECTURE 结构体名 ;结 构 体 用 来 描 述 设 计 实 体 的 结 构 或 行 为 , 即 描 述 一 个 实 体 的 功 能 , 把 设 计 实 体 的 输 入 和

4、输 出 之 间 的 联系 建 立 起 来 。12.比 较 变量和信号的区别: (1)信号赋值至少有 延时,而变量赋值没有延时。(2)信号除当前值外有许多相关的信息,而变量只有当前值。(3)进程对信号敏感而对变量不敏感。(4)信号可以是多个进程的全局信号;而变量只在定义它们的顺序域可见(共享变量除外) 。(5)信号是硬件中连线的抽象描述,它们的功能是保存变化的数据和连接子元件,信号在元件的端口连接元件。变量在硬件中没有类似的对应关系,它们用于硬件特性的高层次建模所需要的计算中。(6)信号赋值和变量赋值分别使用不同的赋值符号“ 实参表达式 ,形参名=实参表达式);过程调用步骤:1)将 IN和 IN

5、OUT的形参值赋给调用过程中与之对应的形参;2)执行这个过程;3)将过程中 IN和 INOUT的形参值赋给对应的实参函数调用:返还一个指定数据类型的值,函数的参量只能是输入值任何时刻,一个对象(信号、常量、变量)只有一个值,但可有多个属性预定义属性描述:属性测试项目名属性标识符CLOCKEVENT AND CLOCK=1对上升沿的测试(或者 NOT(CLOCKSTABLE AND CLOCK=1)CLOCKEVENT AND CLOCK=0对下降沿的测试(或者 CLOCKSTABLE AND CLOCK=0)并行语句在结构体中的执行是同步的。每一并行语句内部的语句运行方式:并行执行、顺序执行。

6、结构体中并行语句有七种:1)并行信号赋值语句 2)进程语句 3)块语句 4)条件信号赋值语句 5)元件例化语句 6)生成语句 7)并行过程调用语句PROCESS中规定了每个进程语句在它的摸个敏感信号的值改变时都必须立即完成某个功能行为。进程的激活必须由敏感信号表中定义的敏感信号的变化来启动,否则必须有一个显示的 WAIT语句激活并行信号赋值语句包括:简单信号赋值语句、条件信号赋值语句、选择信号赋值语句简单信号赋值语句:信号赋值语句”一一对应 2)位置关联方式:按例化元件端口的定义顺序将例化元件的对应的连接实体端口名一一列出生成语句有一种复制功能。生成语句的四个组成部分:生成方式、说明部分、并行

7、语句、标号。子程序是利用顺序语句来定义和完成算法的。只能通过子程序调用及与子程序的界面端口进行通信。包括过程(可单独存在,多个返回值,有输入/出双向参数)和函数(作为语句的一部分调用,一个返回值,所有参数都是输入参数),可在 VHDL的结构体或程序包中任何位置调用子程序。子程序特性:可重载性,即允许有许多重名的子程序,但这些子程序的参数类型及返回值数据类型不同函数组成:函数首(作用:作为程序包的有关此函数的一个接口界面)、函数体重载函数:VHDL 允许相同的函数名定义函数,但要求函数中定义的操作数具有不同的数据类型。过程组成部分:过程首、过程体。过程首不是必须的,过程体可以独立存在和使用过程首

8、参数表用于对常数、变量、信号三类数据对象目标作出说明,并用 IN、OUT、INOUT 定义参数工作模式(信息流向)一般把EDA技术的发展分为CAD、CAE、EDA三个阶段。EDA设计流程包括设计准备、设计输入、设计处理、器件编程四个步骤.EDA的设计验证包括功能仿真、时序仿真、器件测试三个过程EDA的设计输入包括文本输入方式、图形输入方式、波形输入方式三个过程当前最流行的并成为IEEE标准的硬件描述语言包括VHDL 语言、Verilog 和 HDL 语言将硬件描述语言转化为硬件电路的重要工具软件称为HDL 综合器基于EPROM、E2PROM和快闪存储器件的可编程器件,在系统断电后编程信息不丢失

9、基于SRAM结构的可编程器件,在系统断电后编程信息 会丢失 CPLD器件中至少包括可编程逻辑宏单元、可编程 I/O 单元、可编程内部连线三种结构FPGA的三种可编程电路分别是可编程逻辑块 CLB、输入/输出模块 IOB、互连资源三种结构根据逻辑功能块的大小不同,可将FPGA(可编程逻辑器件)分为细密度、粗密度两类;据FPGA内部连线结构的不同,可将FPGA分为分段互连型、连续互连型两类;据FPGA采用的开关元件不同,可将FPGA分一次编程型(OTP)、可重复编程型(MTP)两类目前常见的可编程逻辑器件的编程和配置工艺包括电可擦存储单元的 E2PROM 或 Flash 技术、SRAM 查找表的编

10、程单元、反熔丝编程单元三种编程工艺。VHDL设计实体的基本结构由库、程序包使用说明、设计实体的说明、结构体说明、配置 等部分组成实体、结构体是设计实体的基本组成部分,他们可以构成最基本的VHDL程序在VHDL的端口声明语句中,端口方向包括in out buffer inoutVHDL的数据对象包括常数 constant、变量 variable、信号 signal它们是用来存放各种类型数据的容器VHDL的操作符包括逻辑操作符、关系操作符、算术操作符、符号操作符VHDL的顺序语句只能出现在进程 process、函数 function、过程 procedure中,按照书写顺序自上而下,一条一条执行。

11、VHDL的进程(process)语句是由 顺序语句 组成的,但其本身却是 并行语句 Maxplus 支持图形、符号、文本、波形等不同编辑方式指定设计电路的输入/输出端口与目标芯片引脚的连接关系的过程称为引脚锁定在完成设计电路的输入/输出端口与目标芯片引脚的锁定后,再次对设计电路的仿真称时序仿真或后仿真图形文件设计结束后一定要通过 仿真 ,检查设计文件是否正确以EDA方式设计实现的电路设计文件,最终偶可以编程下载到 FPGA 和 CPLD 芯片中,完成硬件设计和验证MAX+PLUS的文本文件类型是(后缀名) .VHD 在PC上利用VHDL进行项目设计,不允许在 根目录 下进行,不惜在根目录为设计

12、建立一个工程目录(文件夹)VHDL源程序的文件名应与 实体名 相同,否则无法通过编译EDA 名词解释1.CPLD: 复杂可编程逻辑器件 2.HDL: 硬件描述语言3.LUT:查找表(Look-Up-Table)4.ASIC:专用集成电路 5.SOC:单芯片系统 6.VHDL:超高速硬件描述语言7.FPGA:现场可编程门阵列8.RTL:寄存器传输级9.SOPC:可编程片上系统10.EAB:嵌入式阵列块 11.LAB:逻辑阵列块12.IP:知识产权核 13.EDA:电子设计自动化14.IEEE:美国电气电子工程师协会 15.ISP:在系统编程16.LPM:参数可定制红模块库 17.UART:串口(

13、通用异步触发器) 18.元件例化:将预先设计好的设计实体定义为一个元件,然后利用特定的语句将此元件与当前的设计实体中的指定端口相连接,从而为当前设计实体引入一个新的低一级的设计层次。 19.简要解释 JTAG,指出 JTAG 的用途:JTAG:联合测试行动小组的简称,又意指其提出的一种硬件测试标准,常用于器件测试、编程下载和配置等操作。二、名词解析:JTAG:联合测试行动组PCB:( Process Control Block)进 程 控 制 块FSM:有限状态机1-2 与软件描述语言相比,VHDL 有什么特点?VHDL 具有更强的行为描述能力。VHDL 对设计的描述具有相对独立性1-4 在

14、EDA 技术中,自顶向下的设计方法的重要意义是什么?1、由于顶层的功能描述可以独立于目标器件的结构,可集中精力对产品功能、市场需求的设计。2、设计成果的再利用得到保证(IP 核) 。3、采用结构化开发手段,可进行多人多任务的并行工作方式。4、选择器件的类型、规模、硬件结构等方面具有更大的自由度1-5 IP 在 EDA 技术的应用和发展中的意义是什么?与传统的电子设计方法不同,EDA 技术的设计语言是标准化的,不会由于设计对象的不同而改变;他的开发工具是规范化的,EDA 软件平台支持任何标准化的设计语言;他的设计成果是通用性的,IP 核具有规范的接口协议。良好的可移植性与可测试性,为高效高质的系

15、统开发提供了可靠的保证。附:自顶向下设计方法的优缺点1、由于顶层的功能描述可以独立于目标器件的结构,可集中精力对产品功能、市场需求的设计。2、设计成果的再利用得到保证(IP 核) 。3、采用结构化开发手段,可进行多人多任务的并行工作方式。4、选择器件的类型、规模、硬件结构等方面具有更大的自由度2-4 FPGA/CPLD 在 ASIC 设计中有什么用途?可编程逻辑器件是一种半定制的逻辑芯片,但与门阵列法、标准单元法不同,芯片内的硬件资源和连线资源是由厂家预先制定好的,可以方便地通过编程下载获得重新配置。这样,用户就可以借助 EDA 软件和编程器在实验室或车间中自行进行设计、编程或电路更新。而且如

16、果发现错误,则可以随时更改,完全不必关心器件实现的具体工艺。用可编程逻辑器件法设计 ASIC(或称可编程 ASIC) ,设计效率大为提高、上市的时间大为缩短。83 编码library ieee;use ieee.std_logic_1164.all;entity encode isport(d: in std_logic_vector(7 downto 0);ein : in std_logic;a0n,a1n,a2n,gsn,eon : out std_logic);end encode;architecture behav of encode issignal q : std_logic_vector(2 downto 0);begina0n=q(0);a1n=q(1);a2n=q(2);process(d)beginif ein=1 thenq=111;gsn=1;eon

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 行业资料 > 其它行业文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号