应电(3+2)张钊

上传人:油条 文档编号:2672030 上传时间:2017-07-26 格式:DOC 页数:14 大小:42.50KB
返回 下载 相关 举报
应电(3+2)张钊_第1页
第1页 / 共14页
应电(3+2)张钊_第2页
第2页 / 共14页
应电(3+2)张钊_第3页
第3页 / 共14页
应电(3+2)张钊_第4页
第4页 / 共14页
应电(3+2)张钊_第5页
第5页 / 共14页
点击查看更多>>
资源描述

《应电(3+2)张钊》由会员分享,可在线阅读,更多相关《应电(3+2)张钊(14页珍藏版)》请在金锄头文库上搜索。

1、中州大学可编程逻辑器件的现状及发展趋势姓名 张钊 班级 14 级 应电 3+2 学号 201425170113 日期 2016 年 3月 28号 摘要: 现代电子产品正在以前所未有的革新速度,向着功能多样化、功耗最 低化的方向迅速发展。它与传统电子产品在设计上显著区别之一就是大量使用大规模可编程逻辑器件,以提高性能、缩小产品体积、降低产品消耗;区别之二就是广泛运用现代计算机技术,提高电子设计自动化程度,缩短开发周期,提高产品的竞争力。所以可编程逻辑器件是吸收现代科学的最新成果形成了一门新的技术。关键字:可编程逻辑器件;FPGA;CPLD引言 PLD(Programmable Logic Dev

2、ice)是可编程逻辑器件的总称,PLD 基本上可完成任何数字器件的功能,从高性能 CPU,到简单集成电路,均可以用 PLD 实现。通过传统的原理图输入,或时硬件语言的描述可以自由地设计具备某种功能的数字系统。利用软件仿真功能,可以检验设计的正确性;利用 PLD 的在线修改能力,可以在在不必改动硬件电路的基础上进行修改设计。具备设计时间短,PCB面积小,系统的可靠性强等优点。可编程逻辑器件的两种主要类型是现场可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD) 。 对于 FPGA 而言,主要分为基于基于 SRAM 结构的可反复编程的 FPGA 和基于反熔丝结构的一次性 FPGA。随着 FPG

3、A 的容量和功能的扩展,FPGA 从仅仅在系统中做为连接逻辑器件发展到成为系统设计中的核心部件。超过百万门容量FPGA 的推出及越来越多的第三方公司为可编程逻辑器件厂家开IPCore,通过 IPCore 可方便快捷地实现各种数字系统的功能。另一方面,可编程逻辑器件厂家陆续推出低成本系列 FPGA,抢占 ASIC市场。与 ASIC 设计相比,FPGA 设计更容易、快速、经济,还有零NRE 费用、更短的开发时间,因而大大降低了开发风险。 CPLD 相对于 FPGA 而言,应用较少。但 CPLD 提供了良好的可预测性,因而对于关键的应用控制非常理想。早在 1998 年,Altera、Lattice、

4、Xilinx 相继推出各自的 33 CPLD EPM7000AE系列、ispLSI2000VE 系列、XC9500XL 系列,摄大容量达到 5I2 个宏单元,最高速度可达到 pin-to-pin 延时 4ns,系统速度200MHz。2000 年 5 月 30 日,Altera 公司正式将其已量产的高性能的 EPMT000B 系列推向市场,最小延时可达到 pin-to-pin 延时35ns,系统速度达 285MHz,另外 EPM7000B 还可支持多种类型的I/O 接口标准。 Xilinx 收购 Philips 的 CPLD 系列后,推出Coo1Runner CPLD 第 3 代系列产品 XPL

5、A3,XPLA3 系列产品结台了快速零驱动技术和超低供电(小于 l00A )、高性能(Tpd=5ns),其待机功耗仅为同类产品的千分之一。 随着社会持续的需求和商家间的激烈竞争,可编程逻辑器件将继续朝着更先进工艺、大容量、高速度、高性能、低成本和系统集成的方向发展。1可编程逻辑器件的概述90 年代后 PLD 技术有了飞速的发展,现在已经发展到CPLD、FPGA 和基于 SOC 的 PLD。PLD 技术也已经掺入到自动控制、航空等各个领域。国际上生产 FPGA/CPLD 的主流公司,并且在国内占有市场份额较大的主要有 Xilinx、Altera、Lattice 三家公司生产。CPLD 基于 EP

6、ROM 或者 Flash 工艺,基于乘积项(Product Term)结构。由可编程逻辑单元(LMC)围绕中心的可编程互连矩阵单元组成,具有复杂的 I/O 单元互连结构,用户课根据需要完成特定的电路设计,使其具有某种特定功能。目前,CPLD 不仅具备电擦除特性,而且具备边缘扫描和在线可编程等高级特性。FPGA 通常包含 3 类可编程资源:可编程逻辑功能块、可编程I/O 块和可编程内部互连。可编程逻辑功能块是实现用户功能的基本单元,它们通常排列成一个阵列、散布于整个芯片;可编程 I/O快完成芯片上逻辑与外部封装脚的接口,常围绕着阵列排列列于芯片四周;可编程内部互连包括各种长度的连线线段和一些可编

7、程连接开关,它们将各个可编程逻辑块或 I/O 块连接起来,构成特定功能的电路。PLD 的设计主要通过硬件描述语言及仿真工具,硬件描述语言(VDL)常用的有 VHDL、Verilog、ABEL。仿真工具目前比较流行的、主流厂家有 Altera 的 MAX-plus2、Lattice 的 ispEXPERT、Xilinx的 Foundation Series。这三个软件的基本功能相同,主要差别在于:1 面向的目标器件不一样;2 三者的性能各有优劣。由于 FPGA/CPLD 的集成规模非常大,因此可利用先进的 EDA 工具进行电子系统设计和产品开发,由于开发工具的通用性、设计语言的标准化以及设计过程

8、几乎与所有器件的硬件结构无关,因而设计开发成功的各类逻辑功能块软件有很好的兼容性和可移植性。它的显著优势是开发周期短、投资风险小、产品上市速度快、市场适应能力强和硬件升级回旋余地大。2 可编程逻辑器件的现状当前现场可编程逻辑器件(FPGA)和复杂可编程逻辑器件(CPLD)是可编程逻辑器件中两种主要的类型。FPGA 提供了最高的逻辑密度、最丰富的特性和最高的性能。现在最新的 FPGA 器件,如Xilinx Virtex 系列中的部分器件,可提供八百万“系统门” (相对逻辑密度) 。 这些先进的器件还提供诸如内建的硬连线处理器、大容量存储、时钟管理系统等特性,并支持多种最新的超快速器件至器件(de

9、vice-to-device)信号技术。 FPGA 被应用于范围广泛的应用中,从数据处理和存储,以及到仪器仪表、电信和数字信号处理等。与此相比,CPLD 提供的逻辑资源少得多,最高约 1 万门。 但是,CPLD 提供了非常好的可预测性,因此对于关键的控制应用非常理想。 而且如 Xilinx CoolRunner 系列 CPLD 器件需要的功耗极低。但是今年来,随着微电子工业的不断发展,可编程逻辑器件的发展进入“片上可编程系统”SOPC 的新纪元。SOPC 技术是在可编程逻辑器件的基础上发展起来的一种灵活的、高效的嵌入式系统,它所具有的灵活性、地成本等特点是系统设计者受益匪浅。SOPC 将处理器

10、、存储系统、I/O、LVD S、CDR 等系统设计功能模块集成到一个可编程器件上,构成一个可编程的片上系统。目前,赛灵思和 Altera 都推出了相应的 SOCFPGA 产品,制造工艺达到 65nm,系统门数草果百万门。在可编程逻辑发展的此阶段逻辑器件内嵌了硬核高速乘法器、Gbit 差分串行接口,时钟频率高达500MHZ 的 PowerPC 微处理器,软件MicroBlaze、Picoblaze、Nios,这实现了软件与硬件、高速与灵活性的结合,使 PLD 的应用范围从单片扩展到系统级。因而 SOPC 是可编程逻辑器件发展的第四阶段,SOPC 技术在微电子工业方面发挥着越来越大的作用。3 可编

11、程逻辑器件的发展趋势随着市场对大量精密但相对成本较低的终端产品的需求日益增加,设计工程师正利用速度更快、密度更高和相对更经济的 IC 产品,为 FPGA 产品在系统设计中开辟了全新的应用。现在系统设计人员会使用 FPGA 执行高度复杂的时序控制功能,实现高速数据信道设计,甚至先进的加密技术设计。由于掩膜的成本持续居高不下,低成本效益的用户可编程 FPGA 提供了极具吸引力的解决方案,从而替代传统的 ASIC 以实现复杂的设计功能。今天,典型的电路板设计也许只是将现成的处理器或 DSP、一些存储器、几个 ASSP 和一个或多个大型但成本经济的 FPGA 整合在一起。在这种情况下,各个公司正着手转

12、变其基础的可编程逻辑技术和市场重点。我认为可编程逻辑器件的发展趋势有以下几方面:3.1 市场领域 市场重点从用于电信领域的高价位 IC 转向适用于所有领域的低价位 IC,并且航空航天通信和消费电子,这些都是未来发展良好的领域。3.2 更高技术、低压、低功耗 可编程逻辑器件将从高速、独特的反熔丝技术转向以 Flash 为基础的技术;同时,由于当今社会对便携式应用产品的需求越来越大,对可编程逻辑器件的高密度、低压、低功耗要求越来越高。功耗需要考察四项基本的功率成分:1静态功率 2动态功率 3上电(或涌入尖峰功率)4配置功率,总系统功率要求是所有四项功率成分的组合。如赛灵思把越来越多的硬核加入了 F

13、PGA 之中,进而改进性能,提高速度降低功耗。该趋势是很多厂商产品追求的目标。3.3 IP内核库更完善,IP 内核的重用更加成熟 由于通信系统越来越复杂,可编程逻辑器件的设计越来越复杂,这要求 IP 库资源能够高效的完成复杂片上系统设计,因而 IP 内核的完善是一个发展的趋势。而 IP 内核的重用又是 SOPC 发展的重要条件,可见 IP 内核丰富与重用是以后 PLD 追求的一个目标。3.4 更好的软硬件统一语言的广泛使用 HDL 和 C/C+语言在设计流程中实现和系统级都具备有各自的用武之地,问题出现在系统级和实现级连接之处。根据目前芯片系统的发展趋势,系统级语言 Superlog、Syst

14、eC 具有很大的发展潜力,可以对系统语言进行比较研究,做出选择,并进行相关工具的推广以及与相关企业进行合作等。3.5 保护知识产权 采用最安全保密的可编程逻辑技术,以减少被攻击的机会。以SRAM 为基础的挥发性 FPGA 技术不太安全,而非挥发性 FPGA 则是最安全的。3.6 低成本 低成本关系着生产厂商的发展前途,要想在 PLD 有一席之地,低成本是必需要考虑的因素,未来的可编程器件会以最低的成本提供最多的系统门。3.7 ASIC与 PLD的相互融合 SOPC 是 ASCI 与 PLD 相互融合的典型例子,同时兼有 ASIC 与PLD 的优势,避免了它们两者的缺点是未来 PLD 的发展方向

15、。4 结论 可编程器件的发展,使用户有了更多的选择自由,促进了电子设计自动化的进程,有 利于开发具有自己知识产权的专用集成电路,将是今后发展主要目标。当前现场可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)是可编程逻辑器件中两种主要的类型。FPGA 提供了最高的逻辑密度、最丰富的特性和最高的性能。现在最新的 FPGA 器件,如Xilinx Virtex系列中的部分器件,可提供八百万“系统门” (相对逻辑密度) 。 这些先进的器件还提供诸如内建的硬连线处理器、大容量存储、时钟管理系统等特性,并支持多种最新的超快速器件至器件(device-to-device)信号技术。 FPGA 被应用于

16、范围广泛的应用中,从数据处理和存储,以及到仪器仪表、电信和数字信号处理等。与此相比,CPLD 提供的逻辑资源少得多,最高约 1 万门。 但是,CPLD 提供了非常好的可预测性,因此对于关键的控制应用非常理想。 而且如 Xilinx CoolRunner系列 CPLD 器件需要的功耗极低。 但是今年来,随着微电子工业的不断发展,可编程逻辑器件的发展进入“片上可编程系统”SOPC 的新纪元。SOPC 技术是在可编程逻辑器件的基础上发展起来的一种灵活的、高效的嵌入式系统,它所具有的灵活性、地成本等特点是系统设计者受益匪浅。SOPC 将处理器、存储系统、I/O、LVD S、CDR 等系统设计功能模块集成到一个可编程器件上,构成一个可编程的片上系统。 目前,赛灵思和 Altera 都推出了相应的 SOCFPGA

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 商业/管理/HR > 其它文档

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号