基于FPGA的函数信号发生器毕业设计说明

上传人:l**** 文档编号:166030673 上传时间:2021-02-04 格式:DOC 页数:48 大小:854.50KB
返回 下载 相关 举报
基于FPGA的函数信号发生器毕业设计说明_第1页
第1页 / 共48页
基于FPGA的函数信号发生器毕业设计说明_第2页
第2页 / 共48页
基于FPGA的函数信号发生器毕业设计说明_第3页
第3页 / 共48页
基于FPGA的函数信号发生器毕业设计说明_第4页
第4页 / 共48页
基于FPGA的函数信号发生器毕业设计说明_第5页
第5页 / 共48页
点击查看更多>>
资源描述

《基于FPGA的函数信号发生器毕业设计说明》由会员分享,可在线阅读,更多相关《基于FPGA的函数信号发生器毕业设计说明(48页珍藏版)》请在金锄头文库上搜索。

1、 基于FPGA的函数信号发生器设计摘要函数信号发生器是各种测试和实验过程中不可缺少的工具,在通信、测量、雷达、控制、教学等领域应用十分广泛。随着我国经济和科技的发展,对相应的测试仪器和测试手段也提出了更高的要求,信号发生器己成为测试仪器中至关重要的一类。本文在探讨函数信号发生器几种实现方式的基础上,采用直接数字频率合成(DDS)技术实现函数信号发生器。在对直接数字频率合成(DDS)技术充分了解后,本文选择以Altera公司生产的FPGA芯片为核心,以硬件描述语言Verilog HDL为开发语言,设计实现了可以产生任意波形(以正弦波为例)和固定波形的(以方波和锯齿波为例)的函数信号发生器。文中详

2、细阐述了直接数字频率合成(DDS)、波形产生以及调幅模块的设计,并给出了相应的仿真结果。本文最后给出了整个系统的仿真结果,即正弦波、方波、锯齿波的波形输出。实验表明,用现场可编程门阵列(FPGA)设计实现的采用直接数字频率合成(DDS)技术的函数信号发生器,克服了传统方法的局限,实现了信号发生器多波形输出以及方便调频、调幅的功能。关键词 函数信号发生器;直接数字频率合成;现场可编程门阵列;Verilog HDLAbstractFunction Generator is an indispensable tool in a process of various tests and experim

3、ents. It is widely used in communication, measurement, radar, control, teaching and other fields. With the development of Chinas economic and technological, the corresponding test equipment and test methods are also put forward higher requirements, and the signal generator has become a vital test in

4、strument.The article examines the several implementations of the function generator. And it has achieved the function generator which is completed by direct digital frequency synthesis (DDS) technology . Through understanding the direct digital frequency synthesis (DDS) technology, this paper chose

5、to the Altera Corporations FPGA chips as the core of design. The function generator which can produce sine, square wave, sawtooth wave was designed. It also used hardware description language Verilog HDL as development language. The paper described the design of the main module, such as direct digit

6、al synthesizer (DDS), waveform generation and modulation module. And the corresponding simulation results were also presented.At last, the simulation results of the whole system were presented, that is, sine, square, sawtooth waveform has been carried out. Experiments show that the function generato

7、r based on FPGA and direct digital frequency synthesis (DDS)technology has overcame the limitations of traditional methods and achieved a signal generator which can generate multiple waveforms and has facilitate FM, AM function.Keywords Function Genenrator Direct Digital Freguency Synthesizer FPGA V

8、erilog HDLword格式. 目 录1绪论11.1背景及意义11.2波形发生器研究现状11.2.1波形发生器的发展状况11.2.2国外波形发生器产品介绍21.3本设计的主要工作22系统基本原理42.1函数信号发生器的几种实现方式42.1.1程序控制输出方式42.1.2 DMA输出方式42.1.3可变时钟计数器寻址方式42.1.4直接数字频率合成方式42.2频率合成器简介52.2.1频率合成技术概述52.2.2频率合成器主要指标62.3 DDS原理62.3.1相位累加器72.3.2波形ROM82.3.3 DDS频率合成器优缺点82.4现场可编程门阵列(FPGA)92.4.1 FPGA简介9

9、2.4.2 FPGA特点92.4.3 FPGA工作状态102.4.4 FPGA的编程技术102.4.5 FPGA器件配置方式112.4.6使用FPGA器件进行开发的优点112.5 Verilog HDL语言简介113系统软件设计133.1编程软件的介绍133.1.1 Quartus II简介133.1.2 Quartus II设计流程133.2 Quartus II系统工程设计143.2.1创建工程143.2.2新建Verilog源文件153.2.3工程编译153.2.4生成模块电路153.2.5新建Block Diagram/Schematic File并添加模块电路163.2.6设计Vec

10、tor Waveform File163.3函数信号发生器的系统设计173.3.1系统总体设计183.3.2 FPGA系统设计流程183.3.3 FPGA系统模块设计194系统模块设计及仿真214.1频率寄存器模块设计214.2 DDS模块设计224.2.1 32位加法器224.2.2相位寄存器234.3波形产生模块设计244.3.1正弦波形ROM244.3.2方波模块264.3.3锯齿波模块274.4调幅模块设计285系统调试305.1调试305.2仿真结果30结论32致33参考文献34附录35附录1系统整体设计图35附录2各模块源程序351绪论1.1背景及意义函数信号发生器是各种测试和实验

11、过程中不可缺少的工具,在通信、测量、雷达、控制、教学等领域应用十分广泛。不论是在生产、科研还是教学上,信号发生器都是电子工程师信号仿真实验的最佳工具。而且,信号发生器的设计方法多,设计技术也越来越先进。随着我国经济和科技的发展,对相应的测试仪器和测试手段也提出了更高的要求,信号发生器己成为测试仪器中至关重要的一类,因此开发信号发生器具有重大意义。传统的信号发生器大多采用专用芯片或单片机或模拟电路,成本高或控制方式不灵活或波形种类较少等不能满足要求。本课题的目的是研究函数信号发生器的设计方法,克服传统方法的缺点,用更好的方法设计出比较复杂的调频、调幅功能的函数信号发生器。1.2波形发生器研究现状

12、1.2.1波形发生器的发展状况波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。函数波形发生器具有连续的相位变换和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、振动激励、通讯和仪器仪表领域。在70年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而

13、且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形,则电路结构非常复杂。这种情况,主要表现为两个突出问题,一是通过电位器的调节来实现输出频率的调节,因此很难将频率调到某一固定值;二是脉冲的占空比不可调节。在70年代后,微处理器的出现,可以利用处理器、A/D和D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形。这时期的波形发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。90年代末,出现几种真正高性能、高价格的函数发生器,但是HP公司推出了型号为HP77OS的信号模拟装置系统,它由HP877OA任意波形数字化和HP17

14、76A波形发生软件组成。HP877OA实际上也只能产生8种波形,而且价格昂贵。不久以后,Analogic公司推出了型号为Data-2020的多波形合成器,Lecroy公司生产的型号为9100的任意波形发生器等。到了二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可过GHz的DDS芯片,同时也推动了函数波形发生器的发展。2003年,Agilent的产品33220A能够产生17种波形,最高频率可达20M。2005年的产品N6030A能够产生高达500MHz的频率,采样的频率可达1.25GHz。由上面的产品可以看出,函数波形发生器发展很快。.近几年来,国际上波形发生器技术发展主要体现在以下

15、几个方面:1.过去由于频率很低应用的围比较狭小,输出波形频率的提高,使得波形发生器能应用于越来越广的领域。波形发生器软件的开发正使波形数据的输入变得更加方便和容易。波形发生器通常允许用一系列的点、直线和固定的函数段把波形数据存入存储器。同时可以利用一种强有力的数学方程输入方式,复杂的波形可以由几个比较简单的公式复合成v=f(t)形式的波形方程的数学表达式产生。从而促进了函数波形发生器向任意波形发生器的发展,各种计算机语言的飞速发展也对任意波形发生器软件技术起到了推动作用。目前可以利用可视化编程语言(如 Visual Basic,VisualC等等)编写任意波形发生器的软面板,这样允许从计算机显示屏上输入任意波形,来实现波形的输入。2.与VXI资源结合。目前,波形发生器由独立的台式仪器和适用于个人计算机的插卡以及新近开发的VXI模块。由于VXI总线的逐渐成熟和对测量仪器的高要求,在很多领域需要使用VXI系统测量产生复杂的波形,VXI的系统资源提供了明显的优越性,但由于开发VXI模块的周期长,而且需要专门的VXI机箱的配

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 工作范文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号