基于FPGA多功能波形发生器的毕业设计

上传人:l**** 文档编号:166030523 上传时间:2021-02-04 格式:DOC 页数:37 大小:777KB
返回 下载 相关 举报
基于FPGA多功能波形发生器的毕业设计_第1页
第1页 / 共37页
基于FPGA多功能波形发生器的毕业设计_第2页
第2页 / 共37页
基于FPGA多功能波形发生器的毕业设计_第3页
第3页 / 共37页
基于FPGA多功能波形发生器的毕业设计_第4页
第4页 / 共37页
基于FPGA多功能波形发生器的毕业设计_第5页
第5页 / 共37页
点击查看更多>>
资源描述

《基于FPGA多功能波形发生器的毕业设计》由会员分享,可在线阅读,更多相关《基于FPGA多功能波形发生器的毕业设计(37页珍藏版)》请在金锄头文库上搜索。

1、word 格式. 师大学科学技术学院 J I A N G X I N O R M A L U N I V E R S I T Y S C I E N C E A N D T E C H N O L O G Y C O L L E G E 本科生毕业设计本科生毕业设计(论文)(论文) 中文题目:中文题目:基于 FPGA 多功能波形发生器的设计 DesignDesign OfOf FPGA-basedFPGA-based DigitalDigital SignalSignal GeneratorGenerator word 格式. 声声 明明 本人重声明: 所呈交的学位论文,是本人在指导教师指导下

2、,独立进行研究 工作所取得的成果。尽我所知,另文中已经注明引用的容外,论文 由本人独立完成。为本文的研究做出了重要贡献的个人和集体,均 已在文中以明确的方式标明。本声明的法律结果由本人承担。 本毕业设计是本人在师大学科学技术学院读书期间在指导教师 的细心指导下完成的,在此感为本文研究提供文献的集体及个人。 声明人学号:1008068027 声明人签名:朱忠浩 签名日期:2014 年 3 月 2 日 word 格式. 基于 FPGA 多功能波形发生器的设计 摘 要 本文所设计容就是以 FPGA 为平台用 VHDL 语言设计多种波形系统 来实现数字信号发生器的设计,FPGA 严密性高,功能消耗较低

3、,所 占空间小,更可靠等特点,设计的时候可不必过于考虑硬件连接;本 设计中采用 VHDL 语言进行系统描述,使数字信号发生器能产生正弦 波、三角波、方波、等独立波形,而且对所产生的各种波形的频率及 幅度的调节更为方便,还可用 AD 与低通实现数字电路到模拟电路的的 转换。 关键词:多种波形发生器;FPGA;VHDL; Quartus word 格式. Abstract Digital signal transmitter as a test facility is an important part of information processing system. In the produc

4、tion of a wide range of application of life. This content is designed by Altera, based on FPGA design of digital signal generator, FPGA has a high density, low power consumption, small size, high reliability, cannot have too much to consider wher designing specific hardware connection; the design of

5、 the application of VHDL hardware description language to describe, so that the digital signal generator can produce sine, square, triangle, sawtooth waveforms of three independent,and is able to produce four waveforms by the frequency and amplitude adjustment. AD and low pass filter realize the cha

6、nge between digital electricity and simulative electricity. Key words: Digital Waveform Generator; FPGA;VHDL; Quartus word 格式. word 格式. 目目 录录 声明.I 摘 要.II ABSTRACT.III 1.1 引言 .1 1.2 背景与意义 .1 1.3 国发展状况.2 2 设计要求.2 3.3 按键控制模块.2 3.4 显示模块.3 4 设计原理 .3 4.1 单片机模块.3 4.1.1 单片机介绍.3 4.1.2 单片机外围电路介绍 .5 4.2 D/A 模块

7、 .6 4.2.1 D/A 电路简介.6 4.2.2 DAC0832 及其外围电路.6 4.2.3 D/A 转换的计算 .8 4.3 LED 数码管显示模块 .10 4.3.1 数码管显示简介 .10 4.3.2 数码管编码表 .11 4.4 直流电源.12 4.4.1 直流供电电源制作原理.12 4.4.2 输出电源工作原理 .13 5 软件部分 .14 5.1 开发工具介绍.14 5.2 程序框图:.15 6 仿真结果数据分析 .15 7 结束语 .16 参考文献 .17 附录一:电路图 .18 附录二:源程序 .19 word 格式. 1.11.1 引言引言 随着科技的发展,在计算机技术

8、的推动下,电子技术获得飞快的发展,现代电 子产品几乎渗透到社会的各个领域,有力地推动了社会生产力的发展和社会信息 化得程度的提高。在数字化道路上,我国的电子技术亦经历了一系列重大的变革, 电子技术发展的根基是微电子技术的进步,它体现在大规模集成电路的加工术, 现在广泛地应用微控制器或单片机,这是在电子系统设计里发生的具有里程碑意 义般的飞跃。在可编程芯片 CPLD(复杂可编程逻辑器件)和 FPGA(现场可编程门阵 列)上实现电子系统的设计,必将成为今后电子系统设计的一个发展方向。所以电 子设计技术发展到今天,又将面临另一次更大意义的突破,即 CPLDFPGA 在 EDA(电子设计自动化)基础上

9、的广泛应用。本设计将采用基于 VHDL 的 EDA 设计来 实现波形发生器的各种功能。 1.21.2 背景与意义背景与意义 在电子技术领域里,经常会用到波形、频率、幅度都可调的电信号,而用来 产生这种电信号的电子仪器就是信号发生器。 信号发生器是种常用的信号源,常常运用在科学研究和生产实践及教学试验领域。 在通信系统的科研实验中,经常需要用到不同频率和幅度的信号,例如正弦波、 三角波、锯齿波、反锯齿波、梯形波、方波、阶梯波等等。信号发生器是最普通, 最基本,运用最广泛的电子仪器, 传统的波形发生器一般采用的是模拟分立元件来实现,产生的波形种类会受 到电路硬件的限制,而且体积较大,灵活性和稳定性

10、也差。而以数字技术为基础 的数字信号发生器,性能指标很好。现场可编程门阵列器件的容量大、运算速度 极快、现场可编程,广泛地应用到实际系统中。随着电子系统的发展,数字信号 发生器的应用将会越来越广泛也会成为模拟复杂信号标准。 能够产生测试信号的仪器,统称为信号源,它用于产生被测电路需要特定参 数的电测试信号。信号源可以根据用户对其波形的命令来产生信号。信号源给被 测电路提供所需的已知信号,然后对其它仪表进行测量的参数。信号源有很多种 分类,其中一,可分为混和信号源和逻辑信号源两种。其中混和信号源主要输出 的是模拟波形,逻辑信号源输出的是数字码形。混和信号源还可分为函数信号发 生器、函数发生器,函

11、数信号发生器输出标准波形,例如正弦波、方波等,函数 发生器输出用户自定义的任意波形;逻辑信号发生器可分为脉冲信号发生器、码 word 格式. 型发生器,脉冲信号发生器能驱动方波或脉冲波输出,码型发生器可以驱动许多 通道的数字码型。 1.31.3 国外发展现状国外发展现状 以前采用可变时钟和计数器寻址波形存储器的任意波形发生器4的应用比较 广泛,取样的时钟频率较高并且可调节,但是这种波形发生器对硬件要求高,需 锁相环和截止频率可调的低通滤波器,已经逐步退出市场。 现在市场上的数字信号发生器大多采用的是直接数字合成(DDS)技术,这种 波形发生器不但可以产生变频的载频信号、调制信号,还能参与计算机

12、配合生成 自定义的任意信号,更为实用,便捷。 从目前发展状况来看,国外的发展更为成熟。Tektronix 和 Agilent 为代表 的国际电子测量仪器公司在这些领域的研究和开发卓有成效,它们的产品在技术 上相对成熟,大部分市场都被它们所有,但是价格昂贵,一般研究的造价也比较 高,在各国市场上的价格都很高昂。我国研制任意波形发生器于上世纪 90 年代开 始,近年来我国有部分厂家的进步较大,一直都在学习和借鉴它们的研究产品并 改进也取得了可喜的成果。但是和国外的研究成果比较有很大的落差。各方面还 在发展阶段。 本文的主要研究容是参考直接数字频率合成原理(DDS)技术6,利用 Quartus II

13、 软件作为 研究平台,用 VHDL 语言作为开发语言平台,基于 FPGA 的 基础上实现数字信号发生器,实现频率幅度可调的正弦波、三角波、锯齿波、反 锯齿波、梯形波、方波、阶梯波等等。 word 格式. 2 2 设计设计要求要求 1、实现多种波形的输出。这些波形包括正弦波、三角波、锯齿波、反锯齿波、 梯形波、方波、阶梯波等等。 2、输出频率围:1kHz10MHz, 3、具有频率设置功能,频率步进:100Hz 3、输出电压幅度可调,在 50 负载电阻上的电压峰峰值大于 1V. 4、能用开关方便的选择某一种波形的输出。 工作要求: 1、对基本要求能完成方案比较、设计与论证、理论分析与计算、电路图及

14、有 关设计文件。 2、对基本要求能完成硬件电路设计、制作与调试。 3、对基本要求能完成软件调试,测试结果符合要求。 4、完成扩展要求 3 3 设计原理和设计指标设计原理和设计指标 3.13.1 DDSDDS 技术技术 DDS 和大多数的数字信号处理技术是一样,它的基础依然是采用奈圭斯特定 理。奈圭斯特采样定理是任何模拟信号进行数字化处理的基础,它描述的是一 个带限的模拟信号经抽样变成离散序列后可不可以由这些离散序列恢复出原始 模拟信号的问题。 奈圭斯特采样定理告诉我们,当抽样频率大于或者等于模拟信号最高频率 的两倍时,可以由抽样得到的离散序列无失真地恢复出原始模拟信号。只不过 在 DDS 技术

15、中,这个过程被颠倒过来了。DDS 不是对模拟信号进行抽样,而是 一个假定抽样过程已经发生且抽样值已经量化完成,如何通过某种方法把已经 量化的数值重建原始信号的问题。 DDS 电路一般由参考时钟、相位累加器、波形存通滤波器(LPF)组成。其 结构如图 2.1 所示。 word 格式. 图 2.1 DDS 基本结构框图 其中,f c 为参考时钟频率,K 为频率控制字,N 为相位累加器位数,A 为 波形存储器地址位数,D 为波形存储器的数据位字长和 D/A 转换器位数。 DDS 系统中的参考时钟通常由一个高稳定度的晶体振荡器来产生,用来作 为整个系统各个组成部分的同步时钟。频率控制字(Frequen

16、cy Control Word,FCW)实际上是二进制编码的相位增量值,它作为相位累加器的输入。相 位累加器由加法器和寄存器级联而成,它将寄存器的输出反馈到加法器的输入 端实现累加的功能。在每一个时钟脉冲 f c,相位累加器把频率字 K 累加一次, 累加器的输出相应增加一个步长的相位增量,由此可以看出,相位累加器的输 出数据实质上是以 K 为步长的线性递增序列(在相位累加器产生溢出以前) ,它 反映了合成信号的相位信息。相位累加器的输出与波形存储器的地址线相连, 相当于对波形存储器进行查表,这样就可以把存储在波形存储器中的信号抽样 值(二进制编码值)查出。在系统时钟脉冲的作用下,相位累加器不停的累加, 即不停的查表。波形存储器的输出数据送到 D/A 转换器,D/A 转换器将数字量 形式的波形幅度值转换成一定频率的模拟信号,从而将波形重新合成出来。若 波形存储器中存放的是正弦波幅度量化数据,那么 D/A 转换器的输出是近似正 弦波的阶梯波,还需要后级的低通平滑滤波器进一步抑制不必要的杂波就可以 得到频谱比较纯净的正弦波信号。图 2.2 所示为 DDS 各个部分的输出信号。 由于受到字长的

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 工作范文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号