Xilinx FPGA 引脚功能详细介绍-

上传人:左****笑 文档编号:144836666 上传时间:2020-09-14 格式:DOC 页数:10 大小:290KB
返回 下载 相关 举报
Xilinx FPGA 引脚功能详细介绍-_第1页
第1页 / 共10页
Xilinx FPGA 引脚功能详细介绍-_第2页
第2页 / 共10页
亲,该文档总共10页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《Xilinx FPGA 引脚功能详细介绍-》由会员分享,可在线阅读,更多相关《Xilinx FPGA 引脚功能详细介绍-(10页珍藏版)》请在金锄头文库上搜索。

1、引脚功能详细介绍注:技术交流用,希望对大家有所帮助。 IO_LXXY_# 用户IO引脚XX代表某个Bank内唯一的一对引脚,Y=P|N代表对上升沿还是下降沿敏感,#代表bank号2. IO_LXXY_ZZZ_# 多功能引脚ZZZ代表在用户IO的基本上添加一个或多个以下功能。Dn:I/O(在readback期间),在selectMAP或者BPI模式下,D15:0配置为数据口。在从SelectMAP读反馈期间,如果RDWR_B=1,则这些引脚变成输出口。配置完成后,这些引脚又作为普通用户引脚。D0_DIN_MISO_MISO1:I,在并口模式(SelectMAP/BPI)下,D0是数据的最低位,在

2、Bit-serial模式下,DIN是信号数据的输入;在SPI模式下,MISO是主输入或者从输出;在SPI*2或者SPI*4模式下,MISO1是SPI总线的第二位。D1_MISO2,D2_MISO3:I,在并口模式下,D1和D2是数据总线的低位;在SPI*4模式下,MISO2和MISO3是SPI总线的MSBs。An:O,A25:0为BPI模式的地址位。配置完成后,变为用户I/O口。AWAKE:O,电源保存挂起模式的状态输出引脚。SUSPEND是一个专用引脚,AWAKE是一个多功能引脚。除非SUSPEND模式被使能,AWAKE被用作用户I/O。MOSI_CSI_B_MISO0:I/O,在SPI模式

3、下,主输出或者从输入;在SelectMAP模式下,CSI_B是一个低电平有效的片选信号;在SPI*2或者SPI*4的模式下,MISO0是SPI总线的第一位数据。FCS_B:O,BPI flash 的片选信号。FOE_B:O,BPI flash的输出使能信号FWE_B:O,BPI flash 的写使用信号LDC:O,BPI模式配置期间为低电平HDC:O,BPI模式配置期间为高电平CSO_B:O,在并口模式下,工具链片选信号。在SPI模式下,为SPI flsah片选信号。IRDY1/2,TRDY1/2:O,在PCI设计中,以LogiCORE IP方式使用。DOUT_BUSY:O,在SelectMA

4、P模式下,BUSY表示设备状态;在位串口模式下,DOUT提供配置数据流。RDWR_B_VREF:I,在SelectMAP模式下,这是一个低电平有效的写使能信号;配置完成后,如果需要,可以在BANK2中做为Vref。HSWAPEN:I,在配置之后和配置过程中,低电平使用上拉。INIT_B:双向,开漏,低电平表示配置内存已经被清理;保持低电平,配置被延迟;在配置过程中,低电平表示配置数据错误已经发生;配置完成后,可以用来指示POST_CRC状态。SCPn:I,挂起控制引脚SCP7:0,用于挂起多引脚唤醒特性。CMPMOSI,CMPMISO,CMPCLK:N/A,保留。M0,M1:I,配置模式选择。

5、M0=并口(0)或者串口(1),M1=主机(0)或者从机(1)。CCLK:I/O,配置时钟,主模式下输出,从模式下输入。USERCCLK:I,主模式下,可行用户配置时钟。GCLK:I,这些引脚连接到全局时钟缓存器,在不需要时钟的时候,这些引脚可以作为常规用户引脚。VREF_#:N/A,这些是输入临界电压引脚。当外部的临界电压不必要时,他可以作为普通引脚。当做作bank内参考电压时,所有的VRef都必须被接上。3. 多功能内存控制引脚M#DQn:I/O,bank#内存控制数据线D15:0M#LDQS:I/O,bank#内存控制器低数据选通脚M#LDQSN:I/O,bank#中内存控制器低数据选通

6、NM#UDQS:I/O,bank#内存控制器高数据选通脚M#UDQSN:I/O,bank#内存控制器高数据选通NM#An:O,bank#内存控制器地址线A14:0M#BAn:O,bank#内存控制bank地址BA2:0M#LDM:O,bank#内存控制器低位掩码M#UDM:O,bank#内存控制器高位掩码M#CLK:O,bank#内存控制器时钟M#CLKN:O,bank#内存控制器时钟,低电平有效M#CASN:O,bank#内存控制器低电平有效行地址选通M#RASN:O,bank#内存控制器低电平有效列地址选通M#ODT:O,bank#内存控制器外部内存的终端信号控制M#WE:O,bank#内

7、存控制器写使能M#CKE:O,bank#内存控制器时钟使能M#RESET:O,bank#内存控制器复位4. 专用引脚DONE_2:I/O,DONE是一个可选的带有内部上拉电阻的双向信号。作为输出,这个引脚说明配置过程已经完成;作为输入,配置为低电平可以延迟启动。PROGRAM_B_2:I,低电平异步复位逻辑。这个引脚有一个默认的弱上拉电阻。SUSPEND:I,电源保护挂起模式的高电平有效控制输入引脚。SUSPEND是一个专用引脚,而AWAKE是一个复用引用。必须通过配置选项使能。如果挂起模式没有使用,这个引脚接地。TCK:I,JTAG边界扫描时钟。TDI:I,JTAG边界扫描数据输入。TDO:

8、O,JTAG边界扫描数据输出。TMS:I,JTAG边界扫描模式选择5. 保留引脚NC:N/A,CMPCS_B_2:I,保留,不接或者连VCCO_26. 其它GND:VBATT:RAM内存备份电源。一旦VCCAUX应用了,VBATT可以不接;如果KEY RAM没有使用,推荐把VBATT接到VCCAUX或者GND,也可以不接。VCCAUX:辅助电路电源引脚VCCINT:内部核心逻辑电源引脚VCCO_#:输出驱动电源引脚VFS:I,(LX45不可用)编程时,key EFUSE电源供电引脚。当不编程时,这个引脚的电压应该限制在GND到3.45V;当不使用key EFUSE时,推荐把该引脚连接到VCCA

9、UX或者GND,悬空也可以。RFUSE:I,(LX45不可用)编程时,key EFUSE接地引脚。当不编程时或者不使用key EFUSE时,推荐把该引脚连接到VCCAUX或者GND,然而,也可以悬空。7.GTP 引脚MGTAVCC:收发器混合信号电路电源引脚MGTAVTTTX,MGTAVTTRX:发送,接收电路电源引脚MGTAVTTRCAL:电阻校正电路电源引脚MGTAVCCPLL0,MGTAVCCPLL1:锁相环电源引脚MGTREFCLK0/1P,MGTREFCLK0/1N:差分时钟正负引脚MGTRREF:内部校准终端的精密参考电阻引脚MGTRXP1:0,MGTRXN1:0:差分接收端口MG

10、TTXP1:0,MGTTXN1:0:差分发送端口 1. Spartan-6系列封装概述Spartan-6系列具有低成本、省空间的封装形式,能使用户引脚密度最大化。所有Spartan-6 LX器件之间的引脚分配是兼容的,所有Spartan-6 LXT器件之间的引脚分配是兼容的,但是Spartan-6 LX和Spartan-6 LXT器件之间的引脚分配是不兼容的。表格 1Spartan-6系列FPGA封装2. Spartan-6系列引脚分配及功能详述Spartan-6系列有自己的专用引脚,这些引脚是不能作为Select IO使用的,这些专用引脚包括:专用配置引脚,表格2所示 GTP高速串行收发器引

11、脚,表格3所示 表格 2Spartan-6 FPGA专用配置引脚 注意:只有LX75, LX75T, LX100, LX100T, LX150, and LX150T器件才有VFS、VBATT、RFUSE引脚。表格 3Spartan-6器件GTP通道数目 注意:LX75T在FG(G)484 和 CS(G)484中封装4个GTP通道,而在FG(G)676中封装了8个GTP通道;LX100T在FG(G)484 和 CS(G)484中封装4个GTP通道,而在FG(G)676 和 FG(G)900中封装了8个GTP通道。如表4,每一种型号、每一种封装的器件的可用IO引脚数目不尽相同,例如对于LX4 T

12、QG144器件,它总共有引脚144个,其中可作为单端IO引脚使用的IO个数为102个,这102个单端引脚可作为51对差分IO使用,另外的32个引脚为电源或特殊功能如配置引脚。表格 4Spartan6系列各型号封装可用的IO资源汇总表格 5引脚功能详述引脚名方向描述User I/O PinsIO_LXXY_#Input/OutputIO表示这是一个具有输入输出功能的引脚,XX表示该引脚在其Bank内的惟一标识,Y表示是差分引脚的P还是N引脚Multi-Function PinsIO_LXXY_ZZZ_#Zzz代表该引脚除IO功能之外的其他功能,DnInput/Output(during read

13、back)在SelectMAP/BPI模式中,D0D15是用于配置操作的数据引脚,在从SelectMAP的回读阶段,当RDWR_B为低电平时,Dn为输出引脚,在配置过程结束后,该引脚可作为通用IO口使用D0_DIN_MISO_MISO1Input在Bit-serial模式中,DIN是惟一的数据输入引脚;在SPI模式中,MISO是主输入从输出引脚;在SPI x2 or x4模式中,MISO1是SPI总线的第二根数据线;D1_MISO2,D2_MISO3Input在SelectMAP/BPI模式中,D1、D2是配置数据线的低2bit;在SPIx4 模式中,MISO2和MISO3是SPI总线的数据线

14、的高2bitAnOutput在BPI模式中A0A25是输出地址线,配置完成后,它们可作为普通IO使用AWAKEOutput挂起模式中的状态输出引脚,如果没有使能挂起模式,该引脚可作为普通IO引脚MOSI_CSI_B_MISO0Input/Output在SPI配置模式中的主输出从输入引脚;在SelectMAP模式中,CSI_B是低有效的Flash片选信号;在SPI x2 or x4模式中,这是最低数据线FCS_BOutput在BPI模式中,BPI flash的片选信号FOE_BOutput在BPI模式中,BPI flash的输出使能FWE_BOutput在BPI模式中,BPI flash写使能L

15、DCOutput在BPI模式中,在配置阶段LDC保持低电平HDCOutput在BPI模式中,在配置阶段HDC保持低电平CSO_BOutput在SelectMAP/BPI模式中,菊花链片选信号;在SPI模式中,是SPI Flash的片选信号;IRDY1/2,TRDY1/2Output使用PCI 的IP Core时,它们作为IRDY和TRDY信号DOUT_BUSYOutput在SelectMAP模式中,BUSY表示设备状态;在Bit-serial模式中,DOUT输出数据给菊花链下游的设备RDWR_B_VREFInput在SelectMAP模式中,RDWR_B是低有效的写使能信号;配置完成后,可当做普通IO使用HSWAPENInput当是低电平时,在配置之前将所有IO上拉INIT_BBidirectional(open-drain)低电平表示配置存储器是空的;当被拉低时,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 总结/报告

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号