05二极管电路及其分析教学教案

上传人:yulij****0329 文档编号:141364489 上传时间:2020-08-07 格式:PPT 页数:21 大小:841KB
返回 下载 相关 举报
05二极管电路及其分析教学教案_第1页
第1页 / 共21页
05二极管电路及其分析教学教案_第2页
第2页 / 共21页
05二极管电路及其分析教学教案_第3页
第3页 / 共21页
05二极管电路及其分析教学教案_第4页
第4页 / 共21页
05二极管电路及其分析教学教案_第5页
第5页 / 共21页
点击查看更多>>
资源描述

《05二极管电路及其分析教学教案》由会员分享,可在线阅读,更多相关《05二极管电路及其分析教学教案(21页珍藏版)》请在金锄头文库上搜索。

1、3.4 二极管基本电路及其分析方法,3.4.1 简单二极管电路的图解分析方法,3.4.2 二极管电路的简化模型分析方法,3.4.1 简单二极管电路的图解分析方法,二极管是一种非线性器件,因而其电路一般要采用非线性电路的分析方法,相对来说比较复杂,而图解分析法则较简单,但前提条件是已知二极管的V -I 特性曲线。,例3.4.1 电路如图所示,已知二极管的V-I特性曲线、电源VDD和电阻R,求二极管两端电压vD和流过二极管的电流iD 。,解:由电路的KVL方程,可得,即,是一条斜率为-1/R的直线,称为负载线,Q的坐标值(VD,ID)即为所求。Q点称为电路的工作点,3.4.2 二极管电路的简化模型

2、分析方法,1.二极管V-I 特性的建模,3.4.2 二极管电路的简化模型分析方法,1.二极管V-I 特性的建模,(4)小信号模型,vs =0 时, Q点称为静态工作点 ,反映直流时的工作状态。,vs =Vmsint 时(VmVDD), 将Q点附近小范围内的V-I 特性线性化,得到小信号模型,即以Q点为切点的一条直线。,3.4.2 二极管电路的简化模型分析方法,1.二极管V-I 特性的建模,(4)小信号模型,过Q点的切线可以等效成一个微变电阻,即,根据,得Q点处的微变电导,则,常温下(T=300K),(a)V-I特性 (b)电路模型,3.4.2 二极管电路的简化模型分析方法,1.二极管V-I 特

3、性的建模,(4)小信号模型,(a)V-I特性 (b)电路模型,3.4.2 二极管电路的简化模型分析方法,2模型分析法应用举例,(1)整流电路,(a)电路图 (b)vs和vo的波形,2模型分析法应用举例,(2)静态工作情况分析,理想模型,恒压模型,(硅二极管典型值),折线模型,(硅二极管典型值),设,(a)简单二极管电路 (b)习惯画法,2模型分析法应用举例,(3)限幅电路,电路如图,R = 1k,VREF = 3V,二极管为硅二极管。分别用理想模型和恒压降模型求解,当vI = 6sint V时,绘出相应的输出电压vO的波形。,2模型分析法应用举例,(4)开关电路,电路如图所示,求AO的电压值,

4、解:,先断开D,以O为基准电位, 即O点为0V。,则接D阳极的电位为-6V,接阴极的电位为-12V。,阳极电位高于阴极电位,D接入时正向导通。,导通后,D的压降等于零,即A点的电位就是D阳极的电位。,所以,AO的电压值为-6V。,2模型分析法应用举例,(6)小信号工作情况分析,图示电路中,VDD = 5V,R = 5k,恒压降模型的VD=0.7V,vs = 0.1sinwt V。(1)求输出电压vO的交流量和总量;(2)绘出vO的波形。,直流通路、交流通路、静态、动态等概念,在放大电路的分析中非常重要。,3.5 特殊二极管,3.5.1 齐纳二极管(稳压二极管),1.符号及稳压特性,利用二极管反

5、向击穿特性实现稳压。稳压二极管稳压时工作在反向电击穿状态。,(1) 稳定电压VZ,(2) 动态电阻rZ,在规定的稳压管反向工作电流IZ下,所对应的反向工作电压。,rZ =VZ /IZ,(3)最大耗散功率 PZM,(4)最大稳定工作电流 IZmax 和最小稳定工作电流 IZmin,(5)稳定电压温度系数VZ,2. 稳压二极管主要参数,3.5.1 齐纳二极管,3. 稳压电路,正常稳压时 VO =VZ,3.5.1 齐纳二极管,3.5.2 变容二极管,(a)符号 (b)结电容与电压的关系(纵坐标为对数刻度),3.5.3 肖特基二极管,(a)符号 (b)正向V-I特性,3.5.4 光电子器件,1. 光电二极管,(a)符号 (b)电路模型 (c)特性曲线,3.5.4 光电子器件,2. 发光二极管,符号,光电传输系统,3.5.4 光电子器件,3. 激光二极管,(a)物理结构 (b)符号,

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 中学教育 > 教学课件 > 高中课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号