毕业设计(论文)_基于FPGA的电机控制

上传人:l**** 文档编号:136798803 上传时间:2020-07-02 格式:DOC 页数:28 大小:125.50KB
返回 下载 相关 举报
毕业设计(论文)_基于FPGA的电机控制_第1页
第1页 / 共28页
毕业设计(论文)_基于FPGA的电机控制_第2页
第2页 / 共28页
毕业设计(论文)_基于FPGA的电机控制_第3页
第3页 / 共28页
毕业设计(论文)_基于FPGA的电机控制_第4页
第4页 / 共28页
毕业设计(论文)_基于FPGA的电机控制_第5页
第5页 / 共28页
点击查看更多>>
资源描述

《毕业设计(论文)_基于FPGA的电机控制》由会员分享,可在线阅读,更多相关《毕业设计(论文)_基于FPGA的电机控制(28页珍藏版)》请在金锄头文库上搜索。

1、. . 基于FPGA的电机控制指导老师: 设计了一个基于现场可编程门阵列(FPGA)的电机控制系统。简单介绍了步进电机和直流电机的工作原理和工作特点,并根据两种电机的不同特性设计了基于FPGA的不同的控制电路:以改变频率来控制步进电机的转速;调节脉冲的占空比大小改变输出电压的大小,从而达到控制直流电机的转速的目的。关键字:FPGA 步进电机 直流电机 电机控制 PWMDesign of the Motor-Control Based on FPGAAbstract: the electromotor control system is designed based on FPGA.This p

2、aper simply introduces the principle and the characrers of current-motor and step-motor.And whats more,different control circuits based FPGA are designed accordering to the different characteristic of current-motor and step-motor. The rotate speed of step-motor is controlled by changing frequency .T

3、he output-voltage changes accordering to the rate of impulses,and so the aim to control the rotate of current-motor achieve.Keyword : step-motor motor-control PWM FPGA目录1.系统设计 31.1 功能介绍 3 1.2 电机控制简介 31.2.1步进电机的控制 31.2.2直流电机的控制 3 1.3总体设计方案 4 1.3.1总体设计思路41.3.2方案论证与比较42.单元电路设计 72.1.步进电机驱动电路72.2.直流电机驱动电

4、路 83.软件设计8 3.1实现方法 83.2 程序流程图 94.系统测试105.结论及参考文献 105.1.结论:105.2.参考文献:106.附录 10前言步进电机:一般,电动机都是连续旋转,而步进电动机却是一步一步转动的。每输入一个脉冲信号,步进电机就将电脉冲信号转变为角位移或线位移。在正常情况下,电机的转速、停止的位置只取决于脉冲信号的频率,而不受负载变化的影响,即给电机加一个脉冲信号,电机则转过一个步距角。步进电机只有周期性的误差而无累积误差等特点。使它在速度、位置等控制领域应用非常广泛。步进电动机的种类很多,按励磁方式可分为反应式、永磁式和感应子式;按相数分则可分为单相、两相和多相

5、三种。 直流电机:目前,直流电动机在控制系统中的应用十分广泛。直流电动机的工作原理比较简单:当定子中的励磁磁通和转子中的电流相互作用时,就会产生电磁转矩驱动电枢转动,恰当地控制转子中电枢的电流的方向和大小,就可以控制直流电动机的转动方向和转动速度。电枢电流为零时直流电机则停止不动。1.系统设计1.1 功能简介 一、基本功能(1)步进电机能够在四相八拍工作方式下连续运行。(2)能实现步进电机正反转及速度变换。(3)能够实现直流电机的正反转及速度调节(4)显示电机工作状态1.2电机控制简介1.2.1步进电机的控制步进电机能够直接将数字脉冲信号转化成为角位移,不需要A/D 转换,步进电机的空载启动频

6、率一般较高,而运行频率相对来说较低,以超过空载频率启动将出现失步甚至无法启动。为了保证控制速度的精确性,要从一个速度准确达到另外一个速度,就要建立一个校验机制,以防超过或未达到所需速度.步进电机的脉冲分配:步进电机的脉冲分配是通过电机的通电换相来实现的。例如:四相步进电机的八拍工作方式,当电机正转时,其各相通电顺序为A-AB-B-BC-C-CD-D-DA-A,当电机反转时,其各相通电相序为A-AD-D-DC-C-CB-B-BA。步进电机换向时,一定要在电机降速停止或降到频率较低时才换向,以免产生较大的冲击而损坏电机。换向信号一定要在前一个方向的最后一个CP脉冲结束后以及下一个方向的第一个CP脉

7、冲前发出。步进电机的转速控制:步进电机的转速控制实际上就是控制触发脉冲的频率。当脉冲的频率升高时,电机转速增加,当脉冲的频率降低时,电机的转速变慢。1.2.2直流电机的控制直流电机具有很好的线性调速特性,简单的控制性能。控制直流电动机的转动方向比较简单,只需使驱动电路控制直流电源正接、反接,便可以达到对方向的控制。直流电机转速n的表达式为式中U-电枢端电压;I-电枢电流;R-电枢电路总电阻;-每极磁通量;K-电机结构参数。由式可得,直流电机的转速控制方法可以分为两类:一类是对励磁通进行控制的厉磁控制法即改变磁通,一类是对电枢电压进行控制的电枢控制法即改变电枢电压U。现在一般采用后面一种方法。1

8、.3总体设计方案1.3.1总体设计思路用于控制的电机是致远电子公司生产的电机实验仪:DP-51MOTOR(带有驱动电路,我们可以另外设计驱动电路)。为了实现对电机的控制,我们没有去做FPGA最小控制系统,而是直接采用F致远电子有限公FPGA实验仪:DP-FPGA。关键问题是如何产生对电机的控制信号。 1.3.2方案论证与比较一控制部分的方案论证方案一、用逻辑电路实现。用定时芯片产生脉冲,用组合和时序电路实现对脉冲的分配及频率的调节。整个电路用到的分立元件较多,电路构成复杂,不易焊接,且电路的抗干扰能力较差。方案二:采用单片机作为核心控制部件。单片机设计控制电路省去了很多分立元器件。对于脉冲的产

9、生及分配,频率的调节,转速及状态的改变都可以由单片机实现,不必再分别用分立元器件实现。但是按照给定的通电换相顺序,通过单片机的I/O向驱动电路发出控制脉冲,在电机的运行过程中要不停的产生控制脉冲,占用了大量的CPU时间,可能使单片机无法进行其它工作。方案三、用FPGA作控制部件,FPGA控制外围电路简单,整体性能好,有更好的稳定性好。精度高,抗干扰能力强。显然第三种方案具有更大的优越性,灵活性,经比较采用第三种方案。二.功率驱动电路的方案论证为了便于控制,我们把步进与直流电机的驱动电路做在一块PCB板子上。由于从FPGA的I/O中出来的电流较少,不足以驱动电机,或者能够驱动起来,但功率达不到要

10、求。对于直流电机的驱动方案,有一个非常精典的驱动电路,不做过多论证。即采用由晶体管功率放大器8050和8550组成的H型PWM电路。用FPGA控制晶体管功率放大器使之工作在占空比可调的开关状态,精确调整电动机的转速,这种电路由于工作在管子的饱和截止模式下,效率非常高;H型电路保证了可以简单的实现转速和方向的控制;电子开关速度很快,稳定性也极强,是一种广泛应用的PWM调速技术。下面就步进电机的驱动作如下论证。方案一:单电压驱动。单电压驱动是指电机在工作时,只用一个电压源对绕组供电。如下图所示。 步进电机单电压驱动它的特点是电路最简单。电路中的限流电阻R1决定了时间常数,但R1太大会使绕组供电电流

11、减小。可在R1两端并联一个电容C,这样就可使电流的上升波形变陡,改善了高频特性。该电路一般只适用于小功率步进电机的驱动。方案二:斩波恒流驱动 斩波驱动原理图T1是一个高频开关管,T2开关管的发射极接一只小电阻R0。以上的驱动过程表现为;T2每导通一次,T1导通多次,绕组的电流波形为:在T2导通的时间里,电源是脉冲式供电,提高了电源效率,并且能在效抑制共振。但是,由于电流波形为锯齿形,将会产生较大的电磁噪声。经比较,单电压驱动电路简单,比较适合小功率驱动,正好适合本设计的要求。所以采用方案一。经过上面的比较与论证,可以得出电机控制的总方框图LED显示直流电机直流驱动FPGA最小系统按键步进驱动步

12、进电机2.单元电路设计2.1.步进电机驱动电路 步进电机的驱动电路如下图所示,其驱动电路原理为:从FPGA出来的脉冲信号按一定的顺序分别接到晶体管Q3-Q6的基极,四个8050的集电极分别有四个相应的信号输出,并接到电机的1、4、3、6脚,2、5脚接高电平。我们要求的电机的工作方式为四相八拍。正转各相通电顺序为A-AB-B-BC-C-CD-D-DA-A,则只要依次通1110-1100-1101-1001-1011-0011-0111-0110-1110脉冲序列。反转也可以此类推。则电机在脉冲序列信号的作用下按预定方向转动,通过改变脉冲序列信号的快慢即频率来控制步进电机转速。 步进电机驱动原理图

13、2.2.直流电机驱动电路 直流电机驱动原理图P1为直流电机的选择信号,即直流电机的总控制信号。当P1为高电平时,就是让直流电机处于工作状态。当P2输入为低电平,P3输入为高电平时,晶体管功率放大器Q2、Q5导通,Q3、Q4截止。Q2、Q5与电机J10一起形成一个回路。从而驱动电机正转。当P2输入为高电平,P3输入为低电平时,晶体管功率放大器Q2、Q5截止,Q3、Q4导通,Q3、Q4与电机J10形成回路,驱动电机反转。从FPGA的I/O口中输出的电压只有3.3V,若功放管的基极直接与FPGA的I/O口相连,则控制电压最高为3.3V,再加上晶体管本身的压降,加到电动机两端的电压就更少了,电动机的驱动力明显不够.。因此,我们运用了TLP521-2光耦集成芯片,将控制部分与电机的驱动部分隔离开来,这样不仅增加了各系统模块之间的隔离度,也使驱动电流得到大大的加强。3软件设计 3.1实现方法总控制模块是采用VHDL语言在DP-FPGA实验板上编程。通过对各个按键的选择来实现各种功能。总的控制模块就是一个对不同按键的响应程序。共有

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 工作范文

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号