eda课程设计报告报告自动售货机的设计

上传人:yh****1 文档编号:125802383 上传时间:2020-03-20 格式:DOC 页数:19 大小:182.50KB
返回 下载 相关 举报
eda课程设计报告报告自动售货机的设计_第1页
第1页 / 共19页
eda课程设计报告报告自动售货机的设计_第2页
第2页 / 共19页
eda课程设计报告报告自动售货机的设计_第3页
第3页 / 共19页
eda课程设计报告报告自动售货机的设计_第4页
第4页 / 共19页
eda课程设计报告报告自动售货机的设计_第5页
第5页 / 共19页
点击查看更多>>
资源描述

《eda课程设计报告报告自动售货机的设计》由会员分享,可在线阅读,更多相关《eda课程设计报告报告自动售货机的设计(19页珍藏版)》请在金锄头文库上搜索。

1、 .电子信息科学与技术 专业课程设计任务书学生姓名专业班级学号题 目自动售货机的设计课题性质工程设计课题来源自拟课题指导教师同组姓名主要内容设计制作一个自动售货机,该自动售货机能完成货物信息存储、进程控制、硬币处理、余额计算、显示等功能。自动售货机可以售2种货物,每种货物的数量和单价在初始化时设入,在存储器中存储。用户可以用硬币进行购物,按键进行选择。系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客要求自动售货;钱币不够则给出提示并退出。系统自动地计算出应找钱币余额、库存数量并显示。(提示:钱数以5角为单位。)任务要求根据设计题目要求编写相应程序代码对编写的VHDL程序代码进行编译和

2、仿真总结设计内容,完成课程设计说明书参考文献1焦素敏.EDA课程设计指导书.郑州:河南工业大学,20082潘松,黄继业.EDA技术实用教程.北京:科学出版社,20023王国栋,潘松等.VHDL实用教程.成都:电子科技大学出版社,20004 张亦华,延明.数字电路EDA入门.北京:电子工业出版社,20035 http:/www.ele- 中国电子制作网 网站审查意见指导教师签字教研室主任签字 2012年 2月 20日 一 设计说明根据要求可自动出售两种货物,本文设计的自动售货机可销售cola 和 pepsi两种饮料:售货机可识别1元和0.5元的两种货币,在一次购买过程中,可购买一个或多个商品,系

3、统会自动计算所需钱数和找零的钱数并自动找零。另外有3个发光二极管、6个LCD数码管:两个用来显示所需金额,两个用来显示已付金额,两个用来显示找零数。1.1.1 流程说明本文设计的自动售货机当通电时,表示一次销售的开始。顾客选择一种商品或多种商品后就进入投币状态。若不投币,则自动返回初始状态。投币后,系统自动计算所投钱数。若投币够,则出货并找零。若投币不够,如果顾客没有继续投币,则退币并回到初始状态。本系统的投币销售流程图如图所示: 退币清零结 束出货找零继续投币综合物价 计算金额投币选 择开始 1.1.2各模块说明 本文设计的自动售货机总体分三个模块:总控模块,二进制译码模块、BCD码译码模块

4、。总控模块:总控模块是本系统最重要的模块,该模块大体有5个输入端口和6个输出端口。其输入端口包括clk、coin1(投入一元货币)、coin5(投入0.5元货币)、cola(选择cola)、pepsi(选择pepsi),输出端口有paid(已投入多少钱)、needed(还需多少钱)moneyout(找零)、success(灯亮表示交易成功)、failure(灯亮表示交易失败)、showmoneyout(灯亮表示正在找零)。该模块实现了本系统最重要的交易过程,包括选择商品、投入货币,计算剩余金额,找零出货等。二进制译码模块:该模块有一个输入端口和两个输出端口。输入端口是一个8位的二进制数输出端口

5、bcd0、bcd1是两个4位的BCD码。该模块的主要的功能是实现将主控模块输出的二进制数(paid、needed、moneyout)转换成BCD码,以便输出到七段数码管上显示出来。该模块的原理是将一个8位的二进制转换成2个4位的BCD码,分为高四位和低四位。BCD码译码模块:该模块有一个输入端口和一个输出端口1.1.3 程序设计主控模块完整程序如下:Library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity shouhuoji isport(clk: in std_logic; coin1:in

6、 std_logic; coin5:in std_logic; cola:in std_logic; pepsi:in std_logic; paid:out std_logic_vector(7 downto 0); needed:out std_logic_vector(7 downto 0); success:out std_logic; failure:out std_logic; showmoneyout:out std_logic; moneyout:out std_logic_vector(7 downto 0);end shouhuoji; architecture behav

7、 of shouhuoji is type state_type is (qa,qb,qe,qc,qg,qd,qf);-定义七个状态 signal current_state :state_type:=qa; signal q:integer range 0 to 100; begin process(clk) variable paidtemp:std_logic_vector(7 downto 0);-定义变量 variable neededtemp:std_logic_vector(7 downto 0); variable backmoney:std_logic_vector(7 do

8、wnto 0); variable pricetemp:std_logic_vector(7 downto 0); begin if clkevent and clk=1 then case current_state is when qa=paidtemp:=00000000;neededtemp:=00000000; backmoney:=00000000;pricetemp:=00000000;q=0; showmoneyout=0;moneyout=00000000;paid=00000000; needed=00000000;failure=0;success=0; if cola=

9、1 or pepsi=1 then current_state=qb; if cola=1 then pricetemp:=pricetemp+00001111; neededtemp:=pricetemp; Else pricetemp:=pricetemp+00010100; neededtemp:=pricetemp; end if; end if; paid=paidtemp; neededif coin1=1 or coin5=1 then if coin1=1then paidtemp:=paidtemp+00001010; else paidtemp:=paidtemp+0000

10、0101; end if; if paidtemp=pricetemp then backmoney:=paidtemp-pricetemp; neededtemp:=00000000;current_state=qd; else neededtemp:=pricetemp-paidtemp;backmoney:=00000000; current_state=qc;q=0; end if; paid=paidtemp; needed=neededtemp; end if; if q8 then q=q+1; if cola=1 or pepsi=1then q=0; if cola=1 th

11、en pricetemp:=pricetemp+00001111; neededtemp:=neededtemp+00001111; else pricetemp:=pricetemp+00010100; neededtemp:=neededtemp+00010100; end if; paid=paidtemp; needed=neededtemp; end if; else current_state=qe;qfailure=1; if q4 then q=q+1; else current_state=qa;qif coin1=1 or coin5=1 then if coin1=1th

12、en paidtemp:=paidtemp+00001010; else paidtemp:=paidtemp+00000101; end if; if paidtemp=pricetemp then backmoney:=paidtemp-pricetemp; neededtemp:=00000000;current_state=qd; else neededtemp:=pricetemp-paidtemp;backmoney:=00000000; current_state=qc; end if; paid=paidtemp; needed=neededtemp; end if; if c

13、oin1/=1and coin5/=1 then if q10 then q=q+1; else current_state=qg; end if; else qfailure=1; showmoneyout=1;moneyout=paidtemp; current_state=qf;q=0; successsuccess00000000then showmoneyout=1; moneyout=backmoney; end if; current_state=qf;qif q4 then q=q+1; else current_state=qa;q=0; end if; end case; else end if; end process; end behav;BCD译码模块完整程序如下: Library ieee;

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 设计及方案

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号