总线实验报告

上传人:yh****1 文档编号:125801465 上传时间:2020-03-20 格式:DOC 页数:6 大小:1.14MB
返回 下载 相关 举报
总线实验报告_第1页
第1页 / 共6页
总线实验报告_第2页
第2页 / 共6页
总线实验报告_第3页
第3页 / 共6页
总线实验报告_第4页
第4页 / 共6页
总线实验报告_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《总线实验报告》由会员分享,可在线阅读,更多相关《总线实验报告(6页珍藏版)》请在金锄头文库上搜索。

1、 .江南大学物联网工程学院(通控类)计算机组成原理实验报告第 一 次实验实验名称:总线传输实验 专 业: 实验组别: 姓名: 学号: 同实验者姓名: 记录 实验时间: 2016 年 11 月 15 日评定成绩: 报告审阅教师: 成绩评定文字图表(30%)数据处理(40%)内容完整(30%)ABCDEABCDEABCDE总线传输实验一、 实验目的1. 掌握总线连线方式2. 掌握总线上数据传输的工作原理二、 实验原理图三、图5四、 实验步骤1. 建立工程文件,添加reg_74244,reg_74377,reg_74373,data_bus实验模块,GND接地符号,完成原理图设计,Clock端口命名

2、为clk2. 修改用户约束文件,建立端口名与实验箱上拨动开关及LED灯对应联系,注意数据排列时的高低位顺序3. 编译,下载4. 设定输入数据,操作每个实验模块的控制端开关,使数据在总线上进行传输,注意向总线输出数据时,一次只允许有一个实验模块输出,因此在操作时应先将其他实验模块的控制端设在无效状态5. reg_74244模块中oen低有效,reg_74377模块中en_n低有效,reg_74373模块中gwe为写信号高有效,oen_n为读信号低有效,data_bus模块中,we1,we2,we3,we4,we_io1,we_io2均为高有效6. 将一个数据写入74373,然后读出验证是否正确五

3、、 实验结果六、 实验分析1.总线是计算机各种功能部件之间传送信息的公共通信干线,它是由导线组成的传输线束,按照计算机所传输的信息种类,计算机的总线可以划分为数据总线、地址总线和控制总线,分别用来传输数据、数据地址和控制信号。总线是一种内部结构,它是cpu、内存、输入、输出设备传递信息的公用通道,主机的各个部件通过总线相连接,外部设备通过相应的接口电路再与总线相连接,从而形成了计算机硬件系统。在计算机系统中,各个部件之间传送信息的公共通路叫总线,微型计算机是以总线结构来连接各个功能部件的。2.工作原理:如果说主板是一座城市,那么总线就像是城市里的公共汽车,能按照固定行车路线,传输来回不停运作的

4、比特(bit)。这些线路在同一时间内都仅能负责传输一个比特。因此,必须同时采用多条线路才能传送更多数据,而总线可同时传输的数据数就称为宽度,以比特为单位,总线宽度愈大,传输性能就愈佳。总线的带宽(即单位时间内可以传输的总数据数)为:总线带宽= 频率 x 宽度。当总线空闲(其他器件都以高阻态形式连接在总线上)且一个器件要与目的器件通信时,发起通信的器件驱动总线,发出地址和数据。其他以高阻态形式连接在总线上的器件如果收到(或能够收到)与自己相符的地址信息后,即接收总线上的数据。发送器件完成通信,将总线让出(输出变为高阻态)。七、 用户约束文件范文.#-CLOCK-NET clk LOC = L15

5、;#-Atlys led output-NET XLXN_100 LOC = U18; #Atlys LD0NET XLXN_101 LOC = M14; #Atlys LD1NET XLXN_102 LOC = N14; #Atlys LD2NET XLXN_103 LOC = L14; #Atlys LD3NET XLXN_104 LOC = M13; #Atlys LD4NET XLXN_105 LOC = D4; #Atlys LD5NET XLXN_106 LOC = P16; #Atlys LD6NET XLXN_107 LOC = N12; #Atlys LD7#-Atlys S

6、witch input-NET XLXN_50 LOC = A10; #Atlys sw0NET XLXN_51 LOC = D14; #Atlys sw1NET XLXN_52 LOC = C14; #Atlys sw2NET XLXN_53 LOC = P15; #Atlys sw3NET XLXN_54 LOC = P12; #Atlys sw4NET XLXN_55 LOC = R5; #Atlys sw5NET XLXN_56 LOC = T5; #Atlys sw6NET XLXN_57 LOC = E4; #Atlys sw7#-EES261 switch input-NET X

7、LXN_11 LOC = U11; #SW20NET XLXN_13 LOC = R10; #SW19NET XLXN_14 LOC = U10; #SW18NET XLXN_4 LOC = R8; #SW17#NET XLXN_8 LOC = M8; #SW16NET XLXN_9 LOC = U8; #SW15#NET swt13 LOC = U7; #SW14#NET swt12 LOC = N7; #SW13#NET swt11 LOC = T6; #SW12#NET swt10 LOC = R7; #SW11#NET swt9 LOC = N6; #SW10#NET swt8 LOC

8、 = U5; #SW9#NET swt7 LOC = V5; #SW8#NET swt6 LOC = P7; #SW7#NET swt5 LOC = T7; #SW6#NET swt4 LOC = V6; #SW5#NET swt3 LOC = P8; #SW4#NET swt2 LOC = V7; #SW3#NET swt1 LOC = V8; #SW2#NET swt0 LOC = N8; #SW1# #-EES261 leds output-NET XLXN_12 LOC = U16; #LED1NET XLXN_12 LOC = U15; #LED2NET XLXN_12 LOC =

9、U13; #LED3NET XLXN_12 LOC = M11; #LED4NET XLXN_12 LOC = R11; #LED5NET XLXN_12 LOC = T12; #LED6NET XLXN_12 LOC = N10; #LED7NET XLXN_12 LOC = M10; #LED8#-hex7seg-# NET an LOC = V16;# NET an LOC = V15;# NET an LOC = V13;# NET an LOC = N11;# # NET a_to_g LOC = T8; #a# NET a_to_g LOC = V10; #b# NET a_to_g LOC = T10; #c# NET a_to_g LOC = V11; #d# NET a_to_g LOC = N9; #e # NET a_to_g LOC = P11; #f# NET a_to_g LOC = V12; #g# NET dp LOC = T11; #dp#-END-欢迎您的光临,word文档下载后可以修改编辑。双击可以删除页眉页脚。谢谢!单纯的课本内容,并不能满足学生的需要,通过补充,达到内容的完善 教育之通病是教用脑的人不用手,不教用手的人用脑,所以一无所能。教育革命的对策是手脑联盟,结果是手与脑的力量都可以大到不可思议。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 建筑/环境 > 设计及方案

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号