ISE开发环境使用指南[FPGA开发实用教程]

上传人:zejun11****63.com 文档编号:123466811 上传时间:2020-03-09 格式:DOC 页数:33 大小:5.34MB
返回 下载 相关 举报
ISE开发环境使用指南[FPGA开发实用教程]_第1页
第1页 / 共33页
ISE开发环境使用指南[FPGA开发实用教程]_第2页
第2页 / 共33页
ISE开发环境使用指南[FPGA开发实用教程]_第3页
第3页 / 共33页
ISE开发环境使用指南[FPGA开发实用教程]_第4页
第4页 / 共33页
ISE开发环境使用指南[FPGA开发实用教程]_第5页
第5页 / 共33页
点击查看更多>>
资源描述

《ISE开发环境使用指南[FPGA开发实用教程]》由会员分享,可在线阅读,更多相关《ISE开发环境使用指南[FPGA开发实用教程](33页珍藏版)》请在金锄头文库上搜索。

1、第1节 ISE套件的介绍与安装 4.1.1 ISE简要介绍Xilinx是全球领先的可编程逻辑完整解决方案的供应商,研发、制造并销售应用范围广泛的高级集成电路、软件设计工具以及定义系统级功能的IP(Intellectual Property)核,长期以来一直推动着FPGA技术的发展。Xilinx的开发工具也在不断地升级,由早期的Foundation系列逐步发展到目前的ISE 9.1i系列,集成了FPGA开发需要的所有功能,其主要特点有:包含了Xilinx新型SmartCompile技术,可以将实现时间缩减2.5倍,能在最短的时间内提供最高的性能,提供了一个功能强大的设计收敛环境; 全面支持Vir

2、tex-5系列器件(业界首款65nm FPGA); 集成式的时序收敛环境有助于快速、轻松地识别FPGA设计的瓶颈; 可以节省一个或多个速度等级的成本,并可在逻辑设计中实现最低的总成本。 Foundation Series ISE具有界面友好、操作简单的特点,再加上Xilinx的FPGA芯片占有很大的市场,使其成为非常通用的FPGA工具软件。ISE作为高效的EDA设计工具集合,与第三方软件扬长补短,使软件功能越来越强大,为用户提供了更加丰富的Xilinx平台。4.1.2 ISE功能简介ISE的主要功能包括设计输入、综合、仿真、实现和下载,涵盖了FPGA开发的全过程,从功能上讲,其工作流程无需借助

3、任何第三方EDA软件。设计输入:ISE提供的设计输入工具包括用于HDL代码输入和查看报告的ISE文本编辑器(The ISE Text Editor),用于原理图编辑的工具ECS(The Engineering Capture System),用于生成IP Core的Core Generator,用于状态机设计的StateCAD以及用于约束文件编辑的Constraint Editor等。 综合:ISE的综合工具不但包含了Xilinx自身提供的综合工具XST,同时还可以内嵌Mentor Graphics公司的LeonardoSpectrum和Synplicity公司的Synplify,实现无缝链接

4、。 仿真:ISE本身自带了一个具有图形化波形编辑功能的仿真工具HDL Bencher,同时又提供了使用Model Tech公司的Modelsim进行仿真的接口。 实现:此功能包括了翻译、映射、布局布线等,还具备时序分析、管脚指定以及增量设计等高级功能。 下载:下载功能包括了BitGen,用于将布局布线后的设计文件转换为位流文件,还包括了ImPACT,功能是进行设备配置和通信,控制将程序烧写到FPGA芯片中去。 使用ISE进行FPGA设计的各个过程可能涉及到的设计工具如表4-1所示。 表 4-1 ISE设计工具表4.1.3 ISE软件的安装 E9.1软件安装的基本硬件要求如下:CPU在P III

5、以上,内存大于256M,硬盘大于4G的硬件环境安装。为了更好地使用软件,至少需要512M内存,CPU的主频在2GHz以上。本书使用的集成开发环境是ISE 9.1,仿真工具是ModelSim 6.2b,综合工具为Synplify Pro 8.8。其中ISE、ModelSim软件和Synplify软件不同版本之间的差异不是很大,所以操作和设计结果的差别也是很小的。4.1.4 ISE软件的基本操作 1ISE用户界面 ISE9.1i的界面如图4-6所示,由上到下主要分为标题栏、菜单栏、工具栏、工程管理区、源文件编辑区、过程管理区、信息显示区、状态栏等8部分。图4-6 ISE的主界面标题栏:主要显示当前

6、工程的名称和当前打开的文件名称。 菜单栏:主要包括文件(File)、编辑(Edit)、视图(View)、工程(Project)、源文件(Source)、操作(Process)、窗口(Window)和帮助(Help)等8个下拉菜单。其使用方法和常用的Windows软件类似。 工具栏:主要包含了常用命令的快捷按钮。灵活运用工具栏可以极大地方便用户在ISE中的操作。在工程管理中,此工具栏的运用极为频繁。 工程管理区:提供了工程以及其相关文件的显示和管理功能,主要包括源文件视图(Source View),快照视图(Snapshot View)和库视图(Library View)。其中源文件视图比较常用

7、,显示了源文件的层次关系。快照是当前工程的备份,设计人员可以随时备份,也可以将当前工程随时恢复到某个备份状态。快照视图用于查看当前工程的快照。执行快照功能的方法是选择菜单项Project | Take Snapshot。库视图则显示了工程中用户产生的库的内容。 源文件编辑区:源文件编辑区提供了源代码的编辑功能。 过程管理区:本窗口显示的内容取决于工程管理区中所选定的文件。相关操作和FPGA设计流程紧密相关,包括设计输入、综合、仿真、实现和生成配置文件等。对某个文件进行了相应的处理后,在处理步骤的前面会出现一个图标来表示该步骤的状态。信息显示区:显示ISE中的处理信息,如操作步骤信息、警告信息和

8、错误信息等。信息显示区的下脚有两个标签,分别对应控制台信息区(Console)和文件查找区(Find in Files)。如果设计出现了警告和错误,双击信息显示区的警告和错误标志,就能自动切换到源代码出错的地方。 状态栏:显示相关命令和操作的信息。 2ISE菜单的基本操作 ISE所有的操作都可通过菜单完成,下面简要介绍ISE的菜单命令以及功能。 (1)File菜单 File菜单的命令包括:New Project、Open Project、Open Examples、Close Project、Save Project As、New、Open、Save、Save As、Save All、Prin

9、t Preview、Print、Recent Files、Recent Projects以及Exit等。 New Project命令:用于新建工程,是开始设计的第一步。ISE会为新建的工程创建一个和工程同名的文件夹,专门用于存放工程的所有文件。 Open Project命令:用于打开已有的ISE工程。高版本的ISE可以打开低版本的工程,但需要版本转换,该转换是单向的、不可逆的,因此需要做好版本备份。低版本的ISE不能打开高版本的ISE工程。 Open Examples命令:用于打开ISE提供的各种类型的示例。 Close Project命令:关闭当前工程。如果关闭前未保存文件,ISE会提示用户

10、保存后再退出。 Save Project As命令:可将整个工程另存为其他名字的工程,在大型开发中,常使用该命令来完成版本备份。 New命令:用于新建源文件,可生成原理图、符号以及文本文件。文本文件另存为时可修改其后缀名,以生成.v或.vhd的源文件。 Open命令:用于打开所有Xilinx所支持的文件格式,便于用户查看各类文件资源。 Save、Save As以及Save All命令:分别用于保存当前源文件、另存为当前源文件以及保存所有源文件。用户要在开发当中养成及时保存文件的习惯,避免代码丢失。 Print Preview命令:用于打印预览当前文件,Print用于打印当前文件。 Recent

11、 Files命令:用于查看最近打开的文件。 Recent Projects命令:用于查看最近打开的工程。 Exit命令:用于退出ISE软件。 (2)Edit菜单 Edit菜单的命令包括:Undo、Redo、Cut、Copy、Paste、Delete、Find、Find Next、Find in Files、Language Templates、Select All、Unselect All、Message Filters、Object Properties以及Preference等,大多数命令用于源代码开发中。 Undo命令:用于撤销当前操作,返回到前一状态。 Redo命令:是Undo命令的逆

12、操作,用于恢复被撤销的操作。 Cut命令:剪贴选中的代码, 快捷键为“CRTL+X”。 Copy命令:复制选中的代码, 快捷键为“CRTL+C”。 Paste命令:粘贴剪贴和复制的代码, 快捷键为“CRTL+V”。 Delete命令:删除选中的代码。 Find命令:查找选中的文字,或寻找在其输入框中输入的内容,快捷键为“CRTL+F”。 Find Next命令:寻找下一个要查找的内容,并跳至相应的位置,快捷键为“F3 ”。 Language Templates命令:可打开语言模版,里面有丰富的学习资料,是非常完整的HDL语言帮助手册,其地位类似于VisualC+的MSDN。 Select Al

13、l命令:选中所有的代码,其快捷键为“CRTL+A”。 Unselect All命令:撤销已选中的全部代码,是Select All的逆操作。 Message Filter命令:过滤消息,只显示用户期望的消息。 Preference命令:用于设定ISE的启动参数以及运行参数,有着众多的设置项,最常用的就是第三方EDA软件的关联设置,将在第4.5节详细介绍。 (3)View菜单 View菜单主要管理ISE软件的视图,不涉及FPGA开发中的任何环节,其中常用的命令有Layout Horizontally、Layout Vertically以及Restore Default Layout。 Layout

14、 Horizontally命令:将水平地排列ISE主界面中过程管理区、过程管理区以及代码编辑区等主要栏目。 Layout Vertically命令:将垂直地排列ISE主界面中过程管理区、过程管理区以及代码编辑区等主要栏目。 Restore Default Layout命令:将恢复ISE默认的主界面布局。 (4)Project菜单 Project菜单包含了对工程的各个操作,是设计中最常用的菜单之一,包括New Source、Add Source、Add Copy of source、Cleanup Project Files、Toggle Paths、Archive、Take Snapshot、

15、Make Snapshot Current、Apply Project Properties以及Source Control命令。 New Source命令:用于向工程中添加源代码,可以添加HDL源文件、IP Core以及管脚和时序约束文件。 Add Source命令:将已有的各类源代码文件加入到工程中,Verilog模块的后缀为.v,VHDL模块的后缀为.vhd,IP core源文件为.xco文件或.xaw文件,约束文件的后缀为.ucf。 Add Copy of source命令,将目标文件拷贝一份添加到工程中。 Cleanup Project Files命令:用于清空综合和实现过程所产生的

16、文件和目录。如果在EDIF设计模式中,只清空实现过程所产生的文件。 Toggle Paths命令:用于显示或隐藏非工程文件夹中的远端源文件的路径; Archive命令:用于压缩当前工程,包括所有的文件,默认压缩类型为.zip格式。 Take Snapshot命令:用于产生一个工程快照,即当前目录和远程资源的一个只读记录,常用于版本控制。 Make Snapshot Current命令:用户恢复快照覆盖当前工程。由于该命令会将当前工程删除,所以使用前一定要做好数据备份工作。 Apply Project Properties命令:应用工程属性,会提示用于选择相应工程。 Source Control常用于代码的导入和导出,有Export和Import两个子命令。

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 办公文档 > 教学/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号