基于fpga的出租车计价器的设计

上传人:乐*** 文档编号:117405791 上传时间:2019-12-05 格式:DOC 页数:54 大小:4.05MB
返回 下载 相关 举报
基于fpga的出租车计价器的设计_第1页
第1页 / 共54页
基于fpga的出租车计价器的设计_第2页
第2页 / 共54页
基于fpga的出租车计价器的设计_第3页
第3页 / 共54页
基于fpga的出租车计价器的设计_第4页
第4页 / 共54页
基于fpga的出租车计价器的设计_第5页
第5页 / 共54页
点击查看更多>>
资源描述

《基于fpga的出租车计价器的设计》由会员分享,可在线阅读,更多相关《基于fpga的出租车计价器的设计(54页珍藏版)》请在金锄头文库上搜索。

1、论文题目:基于 FPGA 的出租车计价器的设计 专 业:微电子科学与工程 摘 要 出租车计价器是乘客与司机双方的交易准则。具有良好性能的计价器无论是对广大 出租车司机朋友还是乘客来说都是很必要的。现在各大中城市出租车行业都已普及自动 计价器,而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车 行业也将加速发展,所以计价器的普及也是毫无疑问的。 矚慫润厲钐瘗睞枥庑赖。 本论文阐述了 EDA 的发展及其优势、FPGA 的发展趋势及结构特点和 VHDL 语言的优 点及语法结构,并分析了出租车计价器各模块的功能要求、基本原理以及实现方法。本 论文的设计采用 VHDL 硬件描述语言进行

2、编程,基于 Quartus II 软件平台进行编译和仿 真。论文介绍了采用 FPGA 芯片设计出租车计价器的方法,阐述了该计价器的主要组成 单元:车轮大小选择模块、计程模块、计时模块及计费模块、数据分配模块、分频模块、 译码模块的设计方法,同时给出了详细的仿真波形,实现了出租车基本计费功能,还能 模拟汽车启动,停止,暂停等状态,同时提高了计费系统的可靠性、通用性。本设计在 FPGA 试验箱上运行良好,实现了计费器的各项功能。聞創沟燴鐺險爱氇谴净。 关键字关键字 FPGA,VHDL,Quartus II,出租车计价器 Subject: The design of the taxi meter b

3、ased on FPGA残骛楼諍锩瀨濟溆塹籟。 Specialty: Microeletronics Science and Engineering Name:Dan Shen (Signature) _酽锕极額閉 镇桧猪訣锥。 Instructor: Gaili Yue (Signature) _彈贸摄尔霁 毙攬砖卤庑。 ABSTRACTABSTRACT Taxi meter is the rule of passenger and driver. Have a good performance of the meter for our taxi driver or passenger is

4、 very necessary. Now the automatic taxi meter has popularized, and some small city has not been popular, but with the quickening of city construction, the symbol of the city will accelerate development of the taxi industry.謀荞抟箧飆鐸怼类蒋薔。 This article elaborates the concept and development of EDA , the

5、development trend and structure of the FPGA, andexplains the advantages and grammar structure of VHDL ,meanwhile, analysed the function request, the basic principle as well as the method ofaccomplishment of each parts. This systems design programmes in the VHDL, compiled and emulated basing on Quart

6、us II platform of Altera consists of four modules, that is speed, mileage, timing and billing module. This paper introduce one method that can use a chip of FPGA to design taxi meter, which consists of four modules, that is speed, mileage, timing and billing module, and then, describe the design of

7、each module and give out the simulation. This taxi meter can be self-charging according to mileage, which also can simulate the state of speed,such as start, stop, and pause, at the same time, its reliability and commonality also can be improved. This design FPGA test box on running well, realize th

8、e different functions of the message accounting device.厦礴恳蹒骈時盡继價骚。 2 KEYKEY WORDSWORDS FPGA , VHDL , Quartus II ,The taxi meter茕桢广鳓鯡选块网羈泪。 1 目 录 第 1 章 绪论.1鹅娅尽損鹌惨歷茏鴛賴。 1.1 课题研究背景及目的 1籟丛妈羥为贍偾蛏练淨。 1.2 课题研究现状 2預頌圣鉉儐歲龈讶骅籴。 1.3 课题研究方法 3渗釤呛俨匀谔鱉调硯錦。 第 2 章 设计相关工具介绍.4铙誅卧泻噦圣骋贶頂廡。 2.1 EDA 介绍.4擁締凤袜备訊顎轮烂蔷。 2.1.1

9、EDA 的发展.4贓熱俣阃歲匱阊邺镓騷。 2.1.2 EDA 的优势.5坛摶乡囂忏蒌鍥铃氈淚。 2.2 FPGA 介绍5蜡變黲癟報伥铉锚鈰赘。 2.2.1 FPGA 的发展趋势6買鲷鴯譖昙膚遙闫撷凄。 2.2.2 FPGA 结构及其特点7綾镝鯛駕櫬鹕踪韦辚糴。 2.3 VHDL 介绍7驅踬髏彦浃绥譎饴憂锦。 2.3.1 VHDL 简介7猫虿驢绘燈鮒诛髅貺庑。 2.3.2 VHDL 代码结构8锹籁饗迳琐筆襖鸥娅薔。 2.3.3 VHDL 语言设计硬件电路的优点9構氽頑黉碩饨荠龈话骛。 2.4 QUARTUS II.10輒峄陽檉簖疖網儂號泶。 2.4.1 Quartus简介 10尧侧閆繭絳闕绚勵蜆

10、贅。 2.4.2 Quartus设计流程 10识饒鎂錕缢灩筧嚌俨淒。 2.5 实验箱简述 .11凍鈹鋨劳臘锴痫婦胫籴。 第 3 章 系统的设计13恥諤銪灭萦欢煬鞏鹜錦。 3.1 总体设计 .13鯊腎鑰诎褳鉀沩懼統庫。 3.1.1 出租车计价器的设计要求 .13硕癘鄴颃诌攆檸攜驤蔹。 3.1.2 总体框架设计 .13阌擻輳嬪諫迁择楨秘騖。 3.1.3 流程图14氬嚕躑竄贸恳彈瀘颔澩。 3.2 主要模块设计16釷鹆資贏車贖孙滅獅赘。 3.2.1 出租车总体模块设计 .16怂阐譜鯪迳導嘯畫長凉。 3.2.2 车轮大小选择模块设计 .17谚辞調担鈧谄动禪泻類。 3.2.3 计程模块设计 .18嘰觐詿缧

11、铴嗫偽純铪锩。 3.2.4 计时模块设计 .18熒绐譏钲鏌觶鷹緇機库。 3.2.5 计费模块设计 .19鶼渍螻偉阅劍鲰腎邏蘞。 3.2.6 数据分配模块设计 .21纣忧蔣氳頑莶驅藥悯骛。 3.2.7 译码模块设计 .21颖刍莖蛺饽亿顿裊赔泷。 3.2.8 分频模块设计 .22濫驂膽閉驟羥闈詔寢賻。 2 第 4 章 仿真及调试结果23銚銻縵哜鳗鸿锓謎諏涼。 4.1 仿真结果 .23挤貼綬电麥结鈺贖哓类。 4.1.1 出租车总体模块仿真波形 .23赔荊紳谘侖驟辽輩袜錈。 4.1.2 车轮大小选择模块仿真波形 .23塤礙籟馐决穩賽釙冊庫。 4.1.3 计程模块仿真波形 .24裊樣祕廬廂颤谚鍘羋蔺。

12、4.1.4 计时模块仿真波形 .24仓嫗盤紲嘱珑詁鍬齊驁。 4.1.5 计费模块仿真波形 .25绽萬璉轆娛閬蛏鬮绾瀧。 4.1.6 数据分配模块仿真波形 .25骁顾燁鶚巯瀆蕪領鲡赙。 4.1.7 译码模块仿真波形 .26瑣钋濺暧惲锟缟馭篩凉。 4.1.8 分频模块仿真波形 .26鎦诗涇艳损楼紲鯗餳類。 4.2 管脚分配及说明 .27栉缏歐锄棗鈕种鵑瑶锬。 4.3 调试结果及分析 .27辔烨棟剛殓攬瑤丽阄应。 第 5 章 总结31峴扬斕滾澗辐滠兴渙藺。 致 谢34詩叁撻訥烬忧毀厉鋨骜。 参考文献.35则鯤愜韋瘓賈晖园栋泷。 附 录36胀鏝彈奥秘孫戶孪钇賻。 源代码 .36鳃躋峽祷紉诵帮废掃減。

13、1 第 1 章 绪论 1.1 课题研究背景及目的 出租车计价器是乘客与司机之间用于公平贸易的工具,同时它也是出租车行业发展 的重要标志。所以具有良好性能的出租车计价器无论是对司机还是乘客来说都是很重要 的。因此,设计出租车计价器是很有价值的。稟虛嬪赈维哜妝扩踴粜。 在 70 年代开始时我国出现了第一辆出租车,但是那时的出租车计价器几乎都是进 口的,不但不够准确,而且价格还十分贵。随着经济的快速发展,出租车行业的发展趋 势已十分突出,直接导致国内各机械厂纷纷研发计价器。同时经济的发展也意味着城市 旅游业的迅猛发展,使得出租车已经成为看一个城市的窗口,也是象征这个城市的文明 程度。现在各大中城市的

14、出租车计价器已经普及,部分小城市虽尚未普及,但随着城市 建设的日益加快,实现计价器的全面普及是毫无疑问的,所以未来出租车计价器的市场 是难以想象的。陽簍埡鲑罷規呜旧岿錟。 现在我国普遍使用的出租车计价器主要是利用单片机来实现计价功能。出租车计价 器的功能由刚开始时只能显示路程,而价钱却需要司机自己通过路程来计算,计算后进 行四舍五入得到,到现在能实现显示总价并且语音提示和打印发票。但是近年来,由于 国际油价的大幅度变动,所以出租车的价格也随着油价的变动而进行调整,但由于计价 器是采用单片机来实现的,而单片机程序并是不通用的,且不同的芯片有不同的指令集, 因此价格的改动都需要将芯片从新烧录,使得

15、每次调价都需要耗费大量的人力物力,所 以很难达到目前油价变动的需求。并且出租车计价器采用硬件模块化设计,分别为:主 控模块,电源模块和按键显示模块。所以其硬件电路很复杂,并且由于分立元器件太多, 造成电源功耗大,使得芯片不易散热,影响计价器的使用寿命。而基于 FPGA 的出租车 计价器不仅可以解决由单片机设计引起的诸多问题,而且现场可编程门阵列 FPGA 具有 开发简单,静态可重复编程和动态系统编程等优点。随着微电子技术的迅猛发展,使得 FPGA 在电子电路设计中可以实现速度更快、集成度更高的显著优势,即可实现的功能也 越来越多。所以基于 FPGA 的出租车计价器已成为未来计价方式的首选。沩氣

16、嘮戇苌鑿鑿槠谔應。 所以这次的毕业设计在实现计价功能的同时,考虑到现在出租车行业的发展趋势以 2 及对计价器灵活性的高要求,希望利用现场可编程门阵列 FPGA 来解决现在出租车计价 器存在的问题。钡嵐縣緱虜荣产涛團蔺。 1.利用 FPGA 代替 MCU 现场可编程门阵列 FPGA 的出现,解决了传统电子设计不能完成的任务。基于 FPGA 的出租车计价器电路简单,可采用软件进行编译与仿真,同时可设计相对复杂的功能, 而且已经编好的程序在不同的 FPGA 芯片上是通用的。还可以利用 FPGA 实现任何数字器 件的功能,基于 FPGA 的数字电路,可以缩短设计周期,减少 PCB 面积,提高系统的可 靠性。又因为 FPGA 的功能只取决于利用 VHDL 语言或 Verilog-HDL 语言编写的程序,而 不受具体芯片的特殊控制,所以基于 FPGA 的出租车计价器具有更好的更新换代能力。懨 俠劑鈍触乐鹇烬觶騮。 2计价标准设定 增加该模块,主要考虑在不改变硬件电路的前提下,可以使计价标准设计显得更加 灵

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 工学

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号