基于fpga的抢答器设计_本科毕业设计

上传人:乐*** 文档编号:117405747 上传时间:2019-12-05 格式:DOC 页数:80 大小:413.50KB
返回 下载 相关 举报
基于fpga的抢答器设计_本科毕业设计_第1页
第1页 / 共80页
基于fpga的抢答器设计_本科毕业设计_第2页
第2页 / 共80页
基于fpga的抢答器设计_本科毕业设计_第3页
第3页 / 共80页
基于fpga的抢答器设计_本科毕业设计_第4页
第4页 / 共80页
基于fpga的抢答器设计_本科毕业设计_第5页
第5页 / 共80页
点击查看更多>>
资源描述

《基于fpga的抢答器设计_本科毕业设计》由会员分享,可在线阅读,更多相关《基于fpga的抢答器设计_本科毕业设计(80页珍藏版)》请在金锄头文库上搜索。

1、河南科技大学本科毕业设计(论文)基于FPGA的抢答器设计摘 要本文介绍了一种采用EDA技术,基于FPGA并在Quartus工具软件环境下使用Verilog硬件描述语言编写的数码管显示4路抢答器的电路设计。矚慫润厲钐瘗睞枥庑赖。本次设计的抢答器能够同时供应4位选手或者4个代表队进行抢答比赛,分别使用4个按钮a,b,c,d表示。同时需要设置系统复位和抢答控制开关,这需由主持人控制。主持人在允许抢答的情况下,计时器开始从30s开始倒计时,直到有人抢答成功后,由锁存器将时间锁存住,此时数码管上将显示剩余时间及抢答成功选手号码,同时对应选手的LED灯也被点亮。在判断选手是否回答正确后,由主持人控制加减按

2、钮进行给分。在一轮比赛结束后,主持人按下复位按钮,则除了计分模块外,其他模块都复位为初始时刻,为下一轮的比赛做好准备。聞創沟燴鐺險爱氇谴净。系统芯片主要采用EP2C8Q208,由抢答判别模块,计时模块,分频器模块,计分模块,锁存器模块,数码管驱动模块组成。经过编译及其仿真所设计的程序,该设计的抢答器基本能够实现此次设计的要求,从而完成了抢答器应具备的功能。残骛楼諍锩瀨濟溆塹籟。关键词:抢答器,数码显示,硬件描述语言,可编程逻辑门阵列FPGA-BASED RESPONDER DESIGNABSTRACTThis article introduces the design of 4 answeri

3、ng device circuit using an EDA technology Verilog HDL language in FPGA and Quartus environment.At the same time,the 4 answering device circuit is displayed by the digital.酽锕极額閉镇桧猪訣锥。The Responder can also supply four players or four teams to answer in the game, respectively, using four buttons a, b,

4、 c, d. Setting reset and answer in a system control switch, which controls required by the moderator. When the moderator allows to answer, the timer starts counts down from the 30s until someone answers successfully, by the time the latch latches will to live, then the remaining time and the number

5、of the player who responds successfully will be displayed on the digital tube, at the same time the LED of the corresponding player lights will be lighted. Determined whether the contestant answers correctly, the moderator will give points by controlling the addition and subtraction button. At the e

6、nd of the game, the moderator presses the reset button, others modules are reseted to the initial time for the next round of the game except the scoring module.彈贸摄尔霁毙攬砖卤庑。The system uses mainly the EP2C8Q208. At the same time the system is made from the answer in decision block, timing module, divid

7、er block, scoring module latch module, LED driver modules. After compilation and simulation of the design process, the design Responder can basically achieve the design requirements, thus completing the Responder function.謀荞抟箧飆鐸怼类蒋薔。KEY WORDS:Responder, Digital display, HDL ,FPGA目录前言1厦礴恳蹒骈時盡继價骚。第1章

8、绪论3茕桢广鳓鯡选块网羈泪。1.1选题背景3鹅娅尽損鹌惨歷茏鴛賴。1.1.1EDA技术发展3籟丛妈羥为贍偾蛏练淨。1.1.2课题研究的必要性4預頌圣鉉儐歲龈讶骅籴。1.2研究课题的内容4渗釤呛俨匀谔鱉调硯錦。第2章 系统方案设计6铙誅卧泻噦圣骋贶頂廡。2.1FPGA简介6擁締凤袜备訊顎轮烂蔷。2.1.1FPGA芯片结构8贓熱俣阃歲匱阊邺镓騷。2.1.2FPGA设计流程12坛摶乡囂忏蒌鍥铃氈淚。2.1.3FPGA软件设计13蜡變黲癟報伥铉锚鈰赘。2.1.4FPGA的应用14買鲷鴯譖昙膚遙闫撷凄。2. 2Verilog HDL概述15綾镝鯛駕櫬鹕踪韦辚糴。2.2.1Verilog HDL简介15驅

9、踬髏彦浃绥譎饴憂锦。2.2.2Verilog HDL主要功能16猫虿驢绘燈鮒诛髅貺庑。2.2.3Verilog HDL与VHDL的区别16锹籁饗迳琐筆襖鸥娅薔。2.3抢答器总体设计方案17構氽頑黉碩饨荠龈话骛。2.3.1抢答器构成18輒峄陽檉簖疖網儂號泶。2.3.2抢答器工作原理18尧侧閆繭絳闕绚勵蜆贅。第3章 抢答器模块设计与实现19识饒鎂錕缢灩筧嚌俨淒。3.1抢答判别模块19凍鈹鋨劳臘锴痫婦胫籴。3.2数码管驱动模块20恥諤銪灭萦欢煬鞏鹜錦。3.3计时模块22鯊腎鑰诎褳鉀沩懼統庫。3.4分频器模块23硕癘鄴颃诌攆檸攜驤蔹。3.5计分模块23阌擻輳嬪諫迁择楨秘騖。3.6锁存模块24氬嚕躑竄贸

10、恳彈瀘颔澩。3.7总体模块25釷鹆資贏車贖孙滅獅赘。第4章 抢答器程序设计、实现与仿真26怂阐譜鯪迳導嘯畫長凉。4.1抢答判别程序设计26谚辞調担鈧谄动禪泻類。4.2数码管驱动模块程序设计28嘰觐詿缧铴嗫偽純铪锩。4.3计时模块程序设计29熒绐譏钲鏌觶鷹緇機库。4.4分频模块程序设计31鶼渍螻偉阅劍鲰腎邏蘞。4.5计分模块程序设计32纣忧蔣氳頑莶驅藥悯骛。4.6锁存模块程序设计36颖刍莖蛺饽亿顿裊赔泷。结 论38濫驂膽閉驟羥闈詔寢賻。参考文献39銚銻縵哜鳗鸿锓謎諏涼。致谢41挤貼綬电麥结鈺贖哓类。附录42赔荊紳谘侖驟辽輩袜錈。72河南科技大学本科毕业设计(论文)前言随着人类社会的高速发展,电子

11、行业也随之迅猛的发展,这也使得人们越来越想方设法的是自己更加方便、轻松的生活,例如:自从有了电视遥控器开启电视,更改电视频道,我们再也不用跑来跑去用手改换频道;自从有了全自动洗衣机,我们再也不用半自动洗衣机或者人工搓衣板去清洗衣服;自从有了交通灯,不仅省去了很多人力去指挥交通,而且使交通更加井然有序。当然,当具有定时、显示、加减分等多功能性的抢答器摆在我们面前时,我想人们不会用举手的方式或者举牌的方式进行抢答了。塤礙籟馐决穩賽釙冊庫。如今无论是什么场合,只要举办抢答比赛,我们都能见到抢答器的使用,因为它以客观的电子电路进行状态判断,避免了竞赛中出现的人为的失误,或者由于某些原因造成的不公平。抢

12、答器能够准确、公正的判断谁是第一抢答者,并且直观的指出谁是第一抢答者。由于其附加的定时、加减分等功能则能够省去部分人力,且更准确的规范时间。裊樣祕廬廂颤谚鍘羋蔺。现在市面上也存在着各种各样的抢答器,但主要流行的是单片机抢答器和数字抢答器,虽然这两款抢答器都能实现抢答器的基本功能,但是都或多或少的存在着一些缺点。仓嫗盤紲嘱珑詁鍬齊驁。单片机抢答器的设计。控制系统主要由单片机应用电路、存储器接口电路、显示接口电路组成。其中单片机89C51是系统工作的核心,它主要负责控制各个部分协调工作.。虽然单片机实现起来相当灵活,但随着抢答器数组的增加则存在着I/O口不足的问题。这就不能为以后进行抢答器组数的增

13、加进行改进了。绽萬璉轆娛閬蛏鬮绾瀧。数字抢答器的设计。数字抢答器电路主要是由电子元器件组成,数字抢答器由主体电路与扩展电路组成。虽然数字电路主要有元器件组成,成本较为便宜,且小规模数字逻辑设计思路简单,但是电路实现起来比较麻烦:进行电路规划,用protel99画出电路的PCB板,硬件的焊接与调试等等程序步骤。总之硬件设计不仅工作量大,而且要求也高。骁顾燁鶚巯瀆蕪領鲡赙。介于上面两款抢答器的不足点,本次设计则采用FPGA现场可编程技术,这样就避免了硬件的焊接与调试,同时由于FPGA的I/O端口丰富,可以在设计的基础上略加修改实现多组输入的抢答器,增强了系统的灵活性。瑣钋濺暧惲锟缟馭篩凉。本文介绍

14、了一种采用EDA技术,基于FPGA并在Quartus工具软件环境下使用Verilog硬件描述语言编写的数码管显示4路抢答器的电路设计、设计思路以及实现功能。鎦诗涇艳损楼紲鯗餳類。本次设计以EP2C8Q208为核心,完成主持人的控制与参赛队伍的抢答功能;使用Quartus II软件用Verilog HDL完成代码编写,然后用Quartus II软件实现正确的波形仿真作为主要的技术指标。栉缏歐锄棗鈕种鵑瑶锬。根据本次设计的技术要求,特设计了以下各种模块来实现抢答器的基本功能:抢答判别模块,计时模块,分频器模块,计分模块,锁存器模块,数码管驱动模块等等。经过编译及其仿真所设计的程序,该设计的抢答器基

15、本能够实现此次设计的要求,从而完成了抢答器应具备的功能。辔烨棟剛殓攬瑤丽阄应。第1章 绪论1.1 选题背景1.1.1 EDA技术发展EDA是电子设计自动化(Electronic DesignAutomation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。峴扬斕滾澗辐滠兴渙藺。EDA技术是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。詩叁撻訥烬忧毀厉鋨骜。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。则鯤愜韋瘓賈晖园栋泷。EDA工具软件可大致可分为芯片设计辅助软件、可编程芯片辅助设计软件、系统设计辅助软件等三类。目前进入我国并

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 高等教育 > 工学

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号