2008年12月SOPC助理工程师认证考试试题及答案

上传人:xins****2008 文档编号:111240534 上传时间:2019-11-02 格式:DOC 页数:10 大小:88KB
返回 下载 相关 举报
2008年12月SOPC助理工程师认证考试试题及答案_第1页
第1页 / 共10页
2008年12月SOPC助理工程师认证考试试题及答案_第2页
第2页 / 共10页
2008年12月SOPC助理工程师认证考试试题及答案_第3页
第3页 / 共10页
2008年12月SOPC助理工程师认证考试试题及答案_第4页
第4页 / 共10页
2008年12月SOPC助理工程师认证考试试题及答案_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《2008年12月SOPC助理工程师认证考试试题及答案》由会员分享,可在线阅读,更多相关《2008年12月SOPC助理工程师认证考试试题及答案(10页珍藏版)》请在金锄头文库上搜索。

1、中国电子学会嵌入式系统工程师专业技术资格认证考试 试卷:SOPC (密封线内勿答题) 学校 专业 姓名 身份证号 (密封线内勿答题) (考试时间:120分钟)题号一二三四五总分分数一、 选择题(本大题共15个小题,每小题1分,共15分)得分评阅人1、对于FPGA芯片来讲,下列说法错误的是:( )AFPGA是现场可编程逻辑器件的缩写 BFPGA的内部可以集成DSP、PowerPC等模块CFPGA是非易失性器件 DFPGA的内部逻辑可以反复修改2、下列不属于软核处理器的是:( )ALeon3 B. OpenRisc1200C. Microblaze D. MIPS3、下列不属于FPGA片内资源的是

2、哪个?( )A. PLL(锁相环) B. LUT(查找表)C. NiosII软核处理器 D. DSP处理模块4、COS-II操作系统属于:( ) A. 顺序执行系统B. 占先式实时操作系统C. 非占先式实时操作系统D. 分时操作系统5、下列关于SOPC的说法正确的是:( )A. SOPC系统可以对其结构进行修改,因此可以说SOPC是永不过时的嵌入式系统。B. NiosII是一种软核处理器,故可以任意修改其内部结构。C. NiosII可以脱离FPGA芯片单独运行。D. SOPC系统具有体积小、快速灵活、低功耗等优点。6、下列可综合的Verilog HDL语句是:( )A. != B. taskC

3、. initial D. #delay7、下列Verilog HDL表达式中正确的是:( )A. 4b001 1 = 5b00010 ;B. !4b1011 | ! 4b0000 = 1b1 ;C. 4b1010 & 4b1101 = 1b1 ;D. 4b1011 & 4b0100 = 4b1111 ;8、下列选项中哪个不是嵌入式系统软硬件划分的原则。( )A. 系统优化原则 B. 资源利用率原则C. 性能原则 D. 性价比原则9、NiosII的系统中SDRAM的IP核时钟与系统全局时钟相差多少度?( )A. -60度 B. -50度C. -70度 D. -90度10、下列描述可以在FPGA中

4、稳定运行的是:( )A. 状态机编码中采用二进制编码方式 B. 在时钟上升沿到来时 A的值由“1001”变为“0110”C. 大量采用异步电路设计 D. 采用时钟的正负沿调整采样11、下列关于Verilog HDL模块连接正确的是:( )Module1 Module2( .a (code1 ),.clk (clk) ,.rst (rst ),.b ( k1 ) ;A. a是顶层模块,code1是底层模块。B. b是顶层模块,k1是底层模块。C. Module1是底层模块,Module2是顶层模块。D. Module2的端口可以用reg类型定义12、下列关于uClinux的说法正确的是: ( )

5、A. uClinux是在linux的基础上裁剪了内核和应用程序库。B. uClinux可以使用linux的一部分命令C. uClinux由于没有MMU,故仅能运行在没有MMU的处理器上D. uClinux是硬实时的嵌入式操作系统。13、下列对I2C总线说法正确的是:( )A. SCL线为高电平时,SDA线又低电平向高电平跳变表示数据传输的开始。B. SCL线为低电平时,SDA线又低电平向高电平跳变表示数据传输的结束。C. SDA线是双向的,而SCL线是单向的。D. 在标准传输模式下,I2C总线的速度是400Kb/s14、下列关于存储器的说法错误的是:( )A. Nor flash的的特点是写入

6、数据慢读出数据快。多用于存储指令。B. 所有的Flash存储器都存在“位交换”,故必须使用EDC/ECC算法以确保稳定性C. Sram是静态随机存储器,一般读写速度很快但容量较小。D. DDR是在SDRAM的基础上提高一倍时钟。15、下列哪项不是PLL锁相环的功能:( )A. PLL可以优化时钟,故有效降低FPGA芯片的功耗。B. PLL核是集成在FPGA内的硬IP核,故无论使用与否,PLL都存在在FPGA中。C. 使用PLL可以有效减少时钟偏斜的现象D. PLL可以调整时钟的频率,占空比,相位等二、判断题(本大题共10个小题,每题1分,共10分)得分评阅人 1、NiosII系统结构中有32个

7、32位的通用寄存器,8个32位控制寄存器。( )2、Avalon接口是一个同步协议的接口。( )3、在较高频率下SDRAM控制器核与SDRAM芯片之间需要PLL调整时钟相位。( )4、NiosII的定时器控制器的特性之一是具有增1、减1两种计数模式。( )5、在QuartusII编译之前,对FPGA未使用的引脚一般要设置成As input tri-stated。( )6、Flash的数据总线是三态的,NiosII CPU与Flash相连接时需要Avalon三态总线桥。( )7、在SOPC Builder中定义CPU的复位地址在Flash,而在NiosII IDE中用户程序被连接到Flash之外

8、的地址,那么elf2flash实用程序将在用户程序前插入一个Boot-copier。( )8、对于SDRAM控制器的数据引脚,可以与OUTPUT属性的引脚相连,也可以与BIDIR属性的引脚相连。( )9、SOPC Builder提供了一个组件编辑器,一个典型的组件主要有三部分组成:硬件文件、软件文件和组件描述文件三部分组成。( )10、system.h头文件对SOPC硬件进行了软件的描述。( )三、填空题(本大题共10个空,每空1分,共10分)得分评阅人1、Altera公司的FPGA常用的配置方式: JTAG方式、_ _ 、_ _ 。2、CycloneII FPGA上面集成的Block RAM

9、为M4K,一个M4K的大小是_。3、使用QuartusII进行FPGA设计的开发流程是:设计输入、_、_、仿真、_ 。4、NiosII IDE为软件开发提供了4个主要功能:工程管理器、编辑器和编译器、调试器、 。5、SOPC组件On-chip Memory可以用作RAM外,还可以设置成 ,甚至可以设置成双口存取。6、CycloneII EP2C20器件包含4个PLL,每个PLL均有 个输出。其中第 个输出的驱动能力最强。四、简答题(本大题共8个小题,每小题5分,共40分)得分评阅人 1、简述SOPC开发流程和对SOPC的理解?2、简述IP核复用的好处。3、硬核和软核的区别。4、Moore和Me

10、aly状态机的异同?5、如何理解可编程逻辑设计的面积和速度平衡与互换原则? 6、什么是同步设计,什么是异步设计?FPGA设计中为什么遵循同步设计原则?7、Verilog HDL设计中阻塞赋值和非阻塞赋值有什么区别?举例说明。8、简述FPGA设计中毛刺产生的条件及消除毛刺的简单方法。 五、程序题(本大题共3个小题,共25分)得分评阅人1、在Verilog HDL或者VHDL中如何定义inout型 的接口?(7分)2、系统的时钟输入是50MHz,请用Verilog HDL或者VHDL设计一个分频器得到10Hz的时钟输出。(8分)3、 说明扫描矩阵键盘的工作原理,用Verilog或VHDL编写去除按

11、键抖动的代码?(提示:认为只有一次按下是键盘抖动,三次按下才是有按键输入)(10分)答案 选择题:1 C 2 D 3 C 4 B 5 A 6 B 7 B 8 A 9 A 10 B 11、C 12、A 13、B 14、D 15、A判断题:1、F 2、T 3、T 4、F 5、T 6、T 7、T 8、F 9、T 10 T填空题:1、AS方式/EPCS方式 、PS方式/CPLD方式2、4Kbit3、综合、布局布线、配置或下载4、下载器5、ROM 6、3 、 3简答题:1、首先建立SOPC工程添加系统需要的IP核,设置每个IP核的属性,然后分别进行系统的硬件设计和软件设计,然后把硬件设计生成的配置文件下

12、载到FPGA,再启动NiosII运行软件程序。SOPC设计灵活,可以根据需要设计针对不同应用的嵌入式系统。2、设计快速、方便、灵活、性能优化,3、硬核是实际电路构成的不可以改变的功能模块。软核是用HDL语言编写的可以修改全部或部分内部结构的功能模块。4、如果逻辑输出只取决于当前状态,这样的状态机叫Moore状态机。如果逻辑输出不仅取决于当前状态,而且还取决于输入,这样的状态机叫Mealy状态机。5、面积指占用的逻辑单元的数量,速度指模块的处理速度。 面积和速度是相互制约的,也就是说,如果占用很小的FPGA面积,速度就受到一定限制,相反,想要较高的处理速度必然是占用很大的面积。所以在FPGA中一定要考虑模块的实际需要,如果要达到很高的处理速度可以多个模块并行处理,如果要节省逻辑资源,可以反复使用一个处理模块。6、如果设计中功能模块内的寄存器值都在同一个时钟的上升沿或下降沿下变化,这个设计就是同步设计,否则为异步设计。在FPGA中采用同步设计的原因是保证设计的稳定性,减少竞争和冒险的发生。7、always (posedge clk) Begin A = B ; B的值赋给A C = D ; 同时D的值赋给C End 非阻塞always

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号