直流电机调速设计

上传人:xins****2008 文档编号:110994855 上传时间:2019-11-01 格式:DOC 页数:13 大小:1.26MB
返回 下载 相关 举报
直流电机调速设计_第1页
第1页 / 共13页
直流电机调速设计_第2页
第2页 / 共13页
直流电机调速设计_第3页
第3页 / 共13页
直流电机调速设计_第4页
第4页 / 共13页
直流电机调速设计_第5页
第5页 / 共13页
点击查看更多>>
资源描述

《直流电机调速设计》由会员分享,可在线阅读,更多相关《直流电机调速设计(13页珍藏版)》请在金锄头文库上搜索。

1、1研究背景直流电机的定义:将直流电能转换成机械能(直流电动机)或将机械能转换成直流电能(直流发电机)的旋转电机。 近年来,随着科技的进步,直流电机得到了越来越广泛的应用,直流具有优良的调速特性,调速平滑,方便,调速范围广,过载能力强,能承受频繁的冲击负载,可实现频繁的无极快速起动、制动和反转,需要满足生产过程自动化系统各种不同的特殊要求,从而对直流电机提出了较高的要求,改变电枢回路电阻调速、改变电压调速等技术已远远不能满足现代科技的要求。随着我国经济和文化事业的发展,在很多场合,都要求有直流电机调速系统来进行调速,诸如汽车行业中的各种风扇、刮水器、喷水泵、熄火器、反视镜、宾馆中的自动门、自动门

2、锁、自动窗帘、自动给水系统、柔巾机、导弹、火炮、人造卫星、宇宙飞船、舰艇、飞机、坦克、火箭、雷达、战车等场合。 EDA 是电子设计自动化(Electronic Design Automation)的缩写。由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异,所以目前尚无一个确切的定义,但从 EDA 技术的几个主要方面的内容来看,可以理解为:EDA 技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。EDA 是电子

3、技术设计自动化,也就是能够帮助人们设计电子电路或系统的软件工具。该工具可以在电子产品的各个设计阶段发挥作用,使设计更复杂的电路和系统成为可能。在原理图设计阶段,可以使用 EDA 中的仿真工具论证设计的正确性;在芯片设计阶段,可以使用 EDA 中的芯片设计工具设计制作芯片的版图:在电路板设计阶段,可以使用 EDA 中电路板设计工具设计多层电路板,特别是支持硬件描述语言的 EDA 工具的出现,使复杂数字系统设计自动化成为可能,只要用硬件描述语言将数字系统的行为描述正确,就可以进行该数字系统的芯片设计与制造。2开发环境2.1 VHDL 语言2.1.1VHDL简介VHDL语言是一种用于电路设计的高级语

4、言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言 。VHDL翻译成中文就是超高速集成电路硬件描述语言,主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部

5、分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。2.1.2VHDL的特点(1) 系统可以从不同的角度进行描述:行为级描述系统执行的操作和处理,结构级描述的是系统的构成,功能特性描述系统与外界进行连接与交互方式,物理特性描述系统的处理速度。(2) 系统可以按照不同的抽象级别进行描述:开关级是描述晶体管的开关行为,寄存器传输级是描述组合电路和时序电路的逻辑结构,指令级体系结构级就是描述微处理器的功能行为。硬件描述语言能准确地

6、对系统的组成模块和接口进行定义和描述;在设计的物理实现之前验证系统/子系统/模块的功能和性能;由此可以自己生成一个硬件设计。设计流程涉及到多个不同层次的抽象级别,在不同的抽象层次进行转换时需要一种统一的描述和语言支持,而芯片设计与生产所涉及的巨大开销,使得设计越来越依赖于 CAD 工具所实现的设计自动化,而设计一个芯片需要很大的资金的投入,产品上市的压力也越来越大。2.1.3VHDL的优势与其他硬件描述语言相比,VHDL具有以下优势:(1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为

7、上描述和设计大规模电子系统的重要保证。(2)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。(3)VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。(4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。(5)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。2.1.4

8、VHDL的设计流程图如图2.1,图2.2图2.1设计流程图图2.2系统细化流程图2.2 Quartus II 系统2.2.1 Quartus II 简介Quartus II 是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度

9、快,界面统一,功能集中,易学易用等特点。Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。Maxplus II 作为Altera的上一代P

10、LD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。2.2.2 Quartus I

11、I 功能简介Quartus II 是 Altera 公司的第四代可编程逻辑器件集成开发环境,提供从设计输入到器件编程的全部功能。Quartus II 可以产生并识别 EDIF 网表文件、VHDL 网表文件和 Verilog HDL 网表文件,为其他 EDA 工具提供了方便的接口,可以在 Quartus II集成环境中自动运行其他 EDA 工具。利用 Quartus II 软件的开发流程可概括为以下几步:设计输入、设计编译、设计定时分析、设计仿真和器件编程。如图2.3图2.3功能的菜单界面(1) 设计输入Quartus II 软件在“File”菜单中提供“New Project Wizard”向

12、导,引导设计者完成项目的创建。当设计者需要向项目中添加新的 VHDL 文件时,可以通过“New”选项添加。(2) 设计编译Quartus II 编译器完成的功能有:检查设计错误、对逻辑进行综合、提取定时信息、在指定的 Altera 系列器件中进行适配分割,产生的输出文件将用于设计仿真、定时分析及器件编程。 首先确定软件处于编译模式,可以通过“Processing”菜单进行选择。 在“Processing”菜单中选择“Complier Setting” 项。可以进行器件选择、模式设定、综合和适配选项设定及设计验证等。 单击“Processing”菜单下的“Start Compilation”项,

13、开始编译过程。 查看编译结果。(3) 设计定时分析单击 “Project ”菜单下的“Timing setting”选项,可以方便地完成时间参数的设定。Quartus II软件的定时分析功能在编译过程结束之后自动运行,并在编译报告的Timing文件夹中显示。其中我们可以得到最高频率fmax 、输入寄存器的建立时间 tSU 、引脚到引脚延迟tPD 、输出寄存器时钟到输出的延迟tCO和输入保持时间tH等时间的详细报告,从中可以清楚地判定是否达到系统的定时要求。(4)设计仿真Quartus II 软件允许设计者使用基于文本的向量文件(.vec)作为仿真器的激励,也可以在 Quartus II 软件的

14、波形编辑器中产生向量波形文件(.vwf) 作为仿真器的激励。波形编辑方式与 MAX+puls II 软件的操作相似。在“Processing”菜单下选择“Simulate Mode”选项进入仿真模式,选择“Sumlator Settings”对话进行仿真设置。在这里可以选择激励文件、仿真模式(功能仿真或时序仿真)等,单击“RunSimulator” 即可开始仿真过程。(5) 器件编程设计者可以将配置数据通过 MasterBlaster 或 ByteBlasterMV 通信电缆下载到器件当中,通过被动串行配置模式或 JTAG 模式对器件进行配置编程,还可以在 JTAG 模式下给多个器件进行编程。

15、利用 Quartus II 软件给器件编程或配置时,首先需要打开编程器(在“New” 菜单选项中选择打开“Chain Description”),在编程器中可以进行编程模式设置(Mode 下拉框)、硬件配置(“Programming Hardware” 对话框)及编程文件选择(“Add File”按钮),将以上配置存盘产生.cdf 文件,其中存储了器件的名称、器件的设计及硬件设置等编程信息。当以上过程正确无误后,单击“Start” 按钮即可开始对器件进行编程配置。3直流电机速度控制系统设计3.1 直流电机3.1.1直流电机的结构图3.1直流电机的物理模型图其中,固定部分有磁铁,这里称为主磁极;

16、固定部分还有电刷。转动部分有环形铁心和绕在环形铁心上的绕组。(其中2个小圆圈是为了方便的表示该位置上的导体电势或电流的方向而设置的)上图表示一台最简单的两极直流电机模型,它的固定部分(定子)上,装设了一对直流励磁的静止的主磁极N和S,在旋转部分(转子)上装设电枢铁心。定子与转子之间有一气隙。在电枢铁心上放置了由A和X两根导体连成的电枢线圈,线圈的首端和末端分别连到两个圆弧形的铜片上,此铜片称为换向片。换向片之间互相绝缘,由换向片构成的整体称为换向器。换向器固定在转轴上,换向片与转轴之间亦互相绝缘。在换向片上放置着一对固定不动的电刷B1和B2,当电枢旋转时,电枢线圈通过换向片和电刷与外电路接通。3.1.2基本工作原理 图3.2对图3.1

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号