quartesii全减器实验报告

上传人:shaoy****1971 文档编号:108932963 上传时间:2019-10-25 格式:DOC 页数:3 大小:49KB
返回 下载 相关 举报
quartesii全减器实验报告_第1页
第1页 / 共3页
quartesii全减器实验报告_第2页
第2页 / 共3页
quartesii全减器实验报告_第3页
第3页 / 共3页
亲,该文档总共3页,全部预览完了,如果喜欢就下载吧!
资源描述

《quartesii全减器实验报告》由会员分享,可在线阅读,更多相关《quartesii全减器实验报告(3页珍藏版)》请在金锄头文库上搜索。

1、实验一 一位二进制全减器设计一、 实验目的1学习一般的数字电路设计;2学习数码管的输出方法;3进一步熟悉Quartes II集成开发软件的使用以及PH-1V型实验装置的使用二、 实验内容与要求采用原理图输入法和文本输入法分别实现,分层设计,底层由半减器和逻辑组成。三、 设计思路半减器真值表如下XYDIFFSUB_OUT0000011110101100一位二进制全减器真值表如下XINYINSUB_INDIFFSUB_OUT0000010010010111100000111011011010011111原理图如下四、 实验程序半减器:LIBRARY IEEE;USE IEEE.STD_LOGIC_

2、1164.ALL;ENTITY h_suber IsPORT(X,Y:IN STD_LOGIC;Diff1,s_out1:OUT STD_LOGIC);END ENTITY h_suber;ARCHITECTURE fh1 OF h_suber IsBEGINDiff1=X XOR Y;s_out1=(NOT X)AND Y;END ARCHITECTURE fh1; 或门:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY or2a ISPORT(a,b:IN STD_LOGIC; c:OUT STD_LOGIC);END ENTITY or2a;

3、ARCHITECTURE one OF or2a ISBEGINc=a OR b;END ARCHITECTURE one;五、 实验步骤建立工作库文件夹和编辑设计文件;1)打开quartusII,建立新的工程文件,命名为f_suber保存在E:object。2)新建立一个VHDL文件,输入半减器文本和或门文本,分别保存为h_suber和or2a。3)编译无误后,在File菜单下选择Create下拉菜单里的Creat Symbol选项将两个文件制作成元件。建立顶层原理图文件;1)新建一个Block Diagram文件,2)添加元件,输入输出引脚,并按照原理图连线3)保存文件,命名为f_sube

4、r。全程编译,建立波形文件,进行仿真1)在Processing菜单中选择Start Compilation选项进行编译,2)确认无误后,建立一个波形文件,在View菜单下的Utility Window选单下打开Node Finder 窗口,点击list,把对应引脚拉入波形文件中3)在Eide菜单中设定EndTime后,在波形文件中设置输入信号的值,进行仿真仿真图形与真值表对照,之后锁定引脚,并下载到硬件1)将仿真所得到的输出波形与真值表对照,观察是否正确2)在Assignment菜单下打开Pin窗口,进行引脚锁定,其中XINPIN_53,YINPIN_54, SUB_INPIN_55, DIF

5、FPIN_206, SUB_OUTPIN_207。之后再进行编译3)在tool菜单下打开programmer窗口,选择芯片,开始下载。依据真值表,在硬件上测试程序,观察结果。在实验箱上按下对应电平按键,观察LED灯亮灭情况。六、 仿真波形分析 下图为仿真波形: 与真值表对照,无错误七、 硬件测试结果 按下对应的电平按键,根据LED灯的亮灭情况,得到的结果与真值表相符,实验成功。八、 实验心得体会第一次实验,对于仪器还是很不熟悉,通过老师同学悉心的指导,慢慢掌握了实验的详细操作过程,并成功完成实验任务。开始在仿真波形时,得到的波形有毛刺,原因是两个输入量同时改变,存在冒险现象,经过修改后,毛刺消除。- 3 -

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 总结/报告

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号