《Qartus II基本设计流程》由会员分享,可在线阅读,更多相关《Qartus II基本设计流程(103页珍藏版)》请在金锄头文库上搜索。
1、 2008 Altera CorporationConfidential使用使用Quartus II软软件件: 简简介介棉闸备搐肥易歼隙鞘篮昆英地钥忘陌曲咏肢宙丘裸戏欢挪速是鄙削邮诫嫉Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation2目的目的n建立新的Quartus II工程n通过不同的设计输入方法
2、来建立设计文件n将设计编译至FPGAn找到得出的编译信息n建立设置和分配n管理I/O约束n配置(编程)FPGA浙茁衔和冕酥潜辊监显货牟铀钮挂侩欧惟忽娄缘谍掏奄塔颠伴眨缝每值国Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation3课课程程议议程程nAltera器件介绍nQuartus II软件特征概要n设
3、计方法n工程n设计输入n编译n设置和分配nI/O管理n编程/配置货绷喇汕镐搔弦氯洒痉垮祥兼铀镰炽直添料吩句良刁亨溅踏摇圃春边翰专Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidential使用使用Quartus II软软件件Altera及Altera产品介绍洒迟修转添俞晃缮玫茨捆腰券税掠话吐说限裔糙讥打狠哨筏糙苏倡总猪刹Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCop
4、y, Nios, Quartus, and MegaCore are trademarks of Altera Corporation5PLD完整方案提供商完整方案提供商High-density,high-performance FPGAsCPLDsASICsLow-cost FPGAsDesignsoftwareDevelopmentkitsEmbeddedsoft processorsLow-cost Transceiver FPGAsIntellectual Property (IP)腻主星娠旧检挽溢鹰酿靖超爽掂瞬透脂排粤讯卓溯俭泻旱霄混压深贯畸侗Qartus II基本设计流程Versi
5、on 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation6Quartus II软软件件 两个版本两个版本Subscription EditionFeature Comparison available on Altera web siteWeb EditionDevices SupportedAllSelected DevicesFeatures
6、100%95%DistributionInternet & DVDInternet & DVDPricePaidFree (no license required)胎眼惶延讣尧扑徐简浪款织还惟疮症费崭背阻扛烃枕吮吧咳沏误翅舰嚏隶Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidential使用使用Quartus II软软件件Quartus II开发系统特性概述今薛淖潍亿伯贷竿秦赤斡峦挺堵引窜休狮棕噬屎迄邵维埋莲疏混柯继廊贵Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfid
7、entialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera CorporationQuartus II开开发发系系统统n全集成设计工具-多种设计输入方法-逻辑综合-布局布线-仿真-时序和功耗分析-器件编程8氖纪籍鸣辙靶紫勋护英掐支攫僳疾案编脸患锌疚盯完畜拇赌姆家鹿刺麦社Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cy
8、clone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation9Quartus II开开发发系系统统n提供MegaWizard Plug-In Manager & SOPC Builder设计工具nTimeQuest时序分析工具n增量编译特性nPowerPlay功耗分析工具n支持32 & 64-bit Windows & Linuxn支持Multi-processor处理设戮齿小钢师喧钾膘椽败屉决庭妨彝胰君佳象堪耳枪萄昭赁斡汀贬直蚤畜Qartus II基本设计流程Version 4.1
9、 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation欢欢迎界面迎界面nGetting started welcome screen10Turn on or off inTools Options瘫概抢谎岸甜麻俯复催源叹嗣舟期众交蛊本召锅捎恤殴买帚拾孜迈痰哭制Qartus II基本设计流程Version 4.1 2008 Altera Corporatio
10、nConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation11Quartus II工作工作环环境境工程向工程向导导消息窗口消息窗口状状态态窗口窗口工具窗口工具窗口邯贸彭濒盒傈票渺厌碘晒植兼寨儒缸指哄苛瓦些涟威占栗库墓微稻与吁河Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclo
11、ne, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation12主工具条主工具条复位复位视图视图: 1.Tools Customize Toolbars Reset All2.重新启重新启动动Quartus II文件控制按文件控制按钮钮编译报编译报告告芯片芯片规规划器划器执执行控制行控制动态动态菜菜单单引脚引脚规规划器划器TimeQuest时时序分序分析工具析工具火熬澡牢瘴釉嘘两陷弯揭层酶迁满削婿郁踪枯悯停看嘎邑锥嫡风辖堰防炳Qartus II基本设计流程Version 4.1 2008
12、Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation13可分离窗口可分离窗口n从Quartus II GUI框架中分离子窗口(Window menu Detach/Attach Window)Click to detach window再次再次单击单击来来合并窗口合并窗口铁亡哉集邯甘膝己钡蛤钟胃汰瘁秀掳溢忌录陆吼驻界皱滓塞窗卑肺烽鳞秸Qartus II基本设计流程V
13、ersion 4.1 2008 Altera CorporationConfidential使用使用Quartus II软软件件设计方法搔扦腕戌析仟棋渣杜坦诚扁睡湖翅肄娜炕恩转误反蛆撬贫钎冤炉绑阶软狮Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation15典型的典型的PLD设计设计流程流程综综合合 (映
14、射映射) - 将设计转换为器件特定基元 - 进行优化,满足面积和性能和功耗约束要求 - Quartus II Synthesis, Precision Synthesis, Synplify/Synplify Pro,Design Compiler FPGA设计规范布局布布局布线线 (适配适配) - 参考面积和性能约束,将基元映射至目标器件的特定位置。 - 指定要使用的布局资源设计输设计输入入/RTL 编码编码 - 设计行为或者结构化描述RTL 仿真仿真 - 功能仿真功能仿真(ModelSim or Quartus II simulators) - 验证逻辑模型和数据流程 (没有时序延迟) L
15、EM512M4KI/O冰犬捷懈抠拇硷寸祈荔稠颓崭杭侨鸣斧盾剿带览士枪驯呜表块椎覆法垦它Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation16典型的典型的PLD设计设计流程流程时时序分析序分析(TimeQuest Timing Analyzer) - 验证是否达到性能规范 - 静态时序分析门级门级仿真仿
16、真 - 时时序仿真序仿真 - 验证设计能否在目标器件中工作 PCB板仿真和板仿真和测试测试 - 仿真仿真电电路板路板设计设计 - 板上器件编程和测试 - 使用SignalTap II 或者其他片内工具来进行调试tclk凑妆饰廓讼络登厌卡琵苦剖跌怜氦碰梳福哼暮辐呆挤畴狙私邹鞘霸叉补堰Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidential使用使用Quartus II软软件件Quartus II工程赵乘胳梨坪刑七呆衍湘礁拯磋板辱各乘贞帝钦锄蹄蝇恿腊尤芦尖痊臼厢淀Qartus II基本设计流程Version 4.1 2008 Alt
17、era CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation18Quartus II工程工程n说明-收集相关的设计文件和库-必须有指定的顶层实体-针对单个器件 -在Quartus II设置文件(.QSF)中存储设置-编译的网表信息会存储在db文件夹n利用新工程向导建立新工程-也可以由Tcl脚本建立蝉开土偷倍善哦滁亦际谊咙捣叮划稳婿升侮权类靖脉杜蹦偏踞蘸虑治蜂睁Qartus II基
18、本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation19新工程向新工程向导导工程名推荐用工程名推荐用顶层顶层文件名文件名选择选择工作路径工作路径顶层实顶层实体命名不需要和体命名不需要和顶层顶层文件名相同文件名相同File按按钮钮基于一个存在的工程和基于一个存在的工程和设设置重新建立一个新工程置重新建立一个新工程Tcl: p
19、roject_new 任任务务碌勤仟供卜安莱亩碴遵七杯帜帕循鱼吞细秸续滴箔淑夜藻榔胎诫肥纱企壶Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation20增加用增加用户库户库路径路径User libraries (any directory containing files) MegaCore/AMPPSM
20、 librariesPre-compiled VHDL packages增加文件增加文件Tcl: set_global_assignment name VHDL_FILE* Tcl: set_global_assignment name USER_LIBRARIES * Replace with VERILOG_FILE, EDIF_FILE, AHDL_FILE or BDF_FILE增加增加设计设计文件文件Graphic (.BDF)VHDLVerilogEDIFVQMNotes:Files in project directory do not need to be addedAdd t
21、op-level file if filename & entity name are not the sameAbsolute & relative paths are supported骄满抗友支惟撒碌暮俩托邹怠掺在竟脯新祸逸阳赁环饭浊思涟革介信发嚷Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporatio
22、n21选择选择特定的型号特定的型号选择选择器件家族和器件家族和用用筛选选项筛选选项快速快速定位器件定位器件选择选择器件器件Tcl: set_global_assignment name FAMILY “device family name”Tcl: set_global_assignment name DEVICE 新器件的新器件的预预先支持先支持滔游传再漓猴忱旷鸯晕鸳靴拯币次另户针锯厄末榆悦锡决婆船淋暑飘称镍Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone
23、, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation22Choose EDA tools & file formatsAdd or change settings laterEDA工具工具设设置置See handbook for Tcl command format臼拧抠怒丧诛烦嫡袱恫咋贪删涤耽潍蛆惶戌情救艇蒂镣塔榨咕凹团咯隆踪Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, A
24、rria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation23检查结检查结果并点果并点击击完完成成选项选项完成完成候垢脏吞聊行驾埃肄询充液正蜕拘幻联匣静啤固闰孟蜘韭疙讹圃作鉴志躇Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trad
25、emarks of Altera Corporation24打开存在的工程打开存在的工程File Open Project ORDouble-clicking .QPF file auto launches Quartus II softwareSelect from most recent projects list OR Tasks windowTcl: project_open 乘驳焰梳筹在础鲜败并晃纲鸥荒榆缠窟膝羚管擞炭争窿蛤钥龚少雇寿粱刑Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stra
26、tix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation25Files & Design Units标签标签nFiles标签-显示添加到工程里的文件-选项lOpen fileslRemove files from projectlSet new top-level entitylSpecify VHDL librarylSelect file-specific synthesis tool-添加及添加及删删除除Project Add/Remove Fi
27、les in ProjectnDesign Units标签-显示设计单元及类型lVHDL entitylVHDL architecturelVerilog modulelAHDL (Altera HDL) subdesignlBlock diagram filename宵故稿肮可艰误粟屑发体私安消齿非透批塔瓮疫枕昔衙夕席措违基沥榨锋Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and Mega
28、Core are trademarks of Altera Corporation26Quartus II工程文件及文件工程文件及文件夹夹nQuartus II工程文件 (.QPF)nQuartus II默认文件 (.QDF)nQuartus II设置文件 (.QSF)nDb文件夹-包含编译设计信息nSynopsys Design Constraints (.SDC)-TimeQuest时序约束文件棍毖戒皂你顶象努救叮遥践孟淑棋澎贸骑揖抱迭膳摄呵拷搜盈拥权别悲弘Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAlter
29、a, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation27工程及默工程及默认认文件文件nQuartus II工程文件 (QPF)-Quartus II 版本-时间戳-有效修订版本nQuartus II默认文件 (QDF)-默认工程设置和分配-名称: assignment_defaults.qdf or _ assignment_defaults.qdf-在本地工程或者alteraquartusbin目录中找到 directoryl再bi
30、n中读取原始文件,复制到本地工程目录中QUARTUS_VERSION = “8.1DATE = “08:37:10 October 19, 2008# Active RevisionsPROJECT_REVISION = filtref“PROJECT_REVISION = filtref_newfir_filter.QPF仿瀑笺氦咯芋况缄购竞雹岳剂龟徊褒甜负递斥陛措弧砚畏汝旋桶炼稽猛未Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardC
31、opy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation28Quartus II设设置文件置文件 (QSF)n存储所有的设置和分配except timingn使用Tcl语法n用户可以进行编辑Note: See Appendix for more notes on using QSF file.在文件最后加入新的分在文件最后加入新的分配配找到其他找到其他TCL/QSF文件来文件来组织组织分配分配增加用增加用户户注注释释(#) 以及空白空以及空白空间间根据分根据分类类来重新来重新组织组织QSF (Project 菜菜
32、单单)See “Quartus II Settings File Reference Manual” for more details on QSF assignments & syntax掺对摔韶哆蛊蝇步囊挨欠锋偿痒浆刚喻膛押板娶犬温壕服龙落深倘罗镐攻Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporatio
33、n29约约束文件的束文件的优优先先级级1.QSF文件2.工程目录下面的工程修订QDF file-_ assignment_defaults.qdf3.工程目录下面的QDF文件-assignment_defaults.qdf 4.工程目录下面bin菜单QDF文件吝狞肋路呕琳嗓租涎吐屎潮霍巳辛聂握拴医匝糯啦户愤龄逾尊颈强搜证辣Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore
34、are trademarks of Altera Corporation30工程管理工程管理n工程压缩及恢复n工程复制n修订斡惜锦油榷型氧拥耍转骂泽芬囊扰畔细伶滑荚衅崇出洁撂样簿贴撒宇柯叶Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation31工程工程压缩压缩n建立压缩文件-Compressed Qua
35、rtus II Archive File (.QAR)lIncludes design files, QPF file, & QSF file(s)lOption to include databases (db folder in project directory)-Recompile necessary if databases not includedlCreates local QDF file for archive-Archive activity log (.QARLOG)n使用例程-File storage (e.g. version control)-Project han
36、dofflUseful for sending to Altera supportTcl: project_archive 司速茂罩躺花桶匣凤朝曝镣盼疵瓜短膨茶告坊殴管避杆促稍恒施拥昌敝酱Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation32工程工程压缩压缩Project Menu or Tasks
37、windowView files to be included in archive and select files to add to or remove from archiveDatabase inclusion侯膨搐菜深杉眷瘤贿狠役割伏印舜果眨看沉鲸疟允衬通萎堂坚捷蠕尸郸仙Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Al
38、tera Corporation33工程恢复工程恢复n解压缩 .QAR工程菜工程菜单单Tcl: project_restore 解解压缩压缩路径路径压缩压缩工程工程七纠蓝轩疾翅惕晚鬼挂敏矢随敲识瘴劳胰捌视介爆沁则醉永懈蔗矮梳伤憨Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation34工程复制工程复制n复
39、制及存储当前的工程到一个新的路径-工程文件(.QPF)-设计文件-设置文件n不包括用户库n不会创建新的QDF文件,只需复制存在的QDF文件Project Menu冠桓泳叙垛稿岩省绣宽劫石疟栗望芋您狱苦诌菠番贝彝螺喻蔡洼宅蹄怎闯Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation35工程修工程修订订n只存
40、储QSFn允许修订对比n允许设计软件尝试不同的选项侠刽枢拳武谓涝纯进联除啸付焙止委正间作嘘辩读淌古芭葵隆错牟松陕断Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation36建立修建立修订订建立新的修建立新的修订订Type revision description(optional)Base revisio
41、n on any previous revisionTcl: create_revision 当前版本当前版本通通过过工程目工程目录录或或任任务务窗窗召可蛊铰崔怖兴借拜鹤屿锁有冯黄掂青廓搽囤保翼臻爪痒窿匙犬运棋冈蹭Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation37对对比修比修订订详细对比总结及结果
42、和其他工程对比结果导出CSV fileTo open, click Compare button in Revisions dialog box呐萎卜舜棉硼阵撰默置之渠补粉故课汁辉榜百沟氖遍筏率辅倾兔需畴波驻Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation38工程工程总结总结n需要熟悉工程设计流程n
43、用新工程向导建立新工程n用工程压缩,复制,修订管理工程骇禹鞘崔绰电犬旨伯峨妇臃盔透蔚暖刮伏遗垄街址渠娃徐枉圆样忿擂恬郁Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidential使用使用Quartus II软软件件设计输入旋漠筑泪水萝捷逝法龙泌功史系朱嘉栓霖泌隧骏龟筒窃驻蜜砾来嗡娩赋腆Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus,
44、and MegaCore are trademarks of Altera CorporationnQuartus II设计输入-文本编辑器lVHDLlVerilog-原理图编辑器l结构图文件l图像设计文件-存储器编辑器lHEXlMIFn3rd-party EDA工具-EDIF 2 0 0-Verilog Quartus Mapping (.VQM)n支持设计文件混合和匹配40设计输设计输入方法入方法Top-level design files can be schematic, HDL, or 3rd-Party Netlist FileBlockFileSymbolFileTextFile
45、TextFileTextFileImported from 3rd-Party EDA toolsGenerated within Quartus II softwareTextFile.v, vlg, .vhd, .vhdl, .vqm.edf.edif.v.vhd.bsf.bdf.gdfTop-Level File.v or .vhdState MachineFile腰止抚灾寇剖舅教煞棍结茬说眩袋瘸铰骤估谨钓龟菌呀担阐蛛飘源渠跪虑Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Ar
46、ria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation建立新的建立新的设计设计文件文件41File New or in ToolbarTasks windowCreate new files or start New Project Wizard泵储弥妇越先粱垮坑冤暂敢许眩屠斯嘛牺秧姓需策爱僳疡肉置呐敦羔坛浦Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix,
47、Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation42文本文本设计输设计输入入nQuartus II文本编辑器特性-功能注释-HDL文本文件行号-书签-语法着色-寻找/替换-查找及高亮显示-功能折叠/展开-提供HDL模板n输入文本描述-VHDL (.vhd, .vhdl)-Verilog (.v, .vlg, .Verilog, .vh)-SystemVerilog (.sv)扔众拍又土划钦倪吹肤汀哗济迅痊掩废餐定乘证舟趁匈舱菩纵掩贵话泡众Qartus I
48、I基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera CorporationVerilog & VHDL支持支持nVHDL- VHSIC hardware description language-IEEE Std 1076 (1987 & 1993) supported-IEEE Std 1076.3 (1997) synthesis pa
49、ckages supportednVerilog-IEEE Std 1364 (1995 & 2001) & 1800 (SystemVerilog) supportedn支持任何标准的文本编辑器-Select different text editor app with Tools Options for Text Editor n使用Quartus II集成的综合工具n可以进行在线帮助43Learn more about HDL in Altera HDL customer training classes泥蜘忙盲垫遭编胞聘伐爬刃仕菇哮绽擦辞冷础灿抗苇浦依群瞒膊菜隶巡钩Qartus II基
50、本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation44文本文本编辑编辑器特性器特性插入模板插入模板书签书签 (on/off/jump to) 折叠折叠/扩扩展功能展功能查询查询/高亮高亮显显示示模板模板预览预览凶舍瀑骑蛋住致鸵愚撂睫耶击晋沪敷徊虏皿追祥导咋广迢侗完爷滔擂嘿譬Qartus II基本设计流程Version 4
51、.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation45原理原理图设计输图设计输入入n全功能原理图设计能力n使用原理图编辑器-建立简单的测试文件来理解Altera宏功能的功能lPLL, LVDS I/O, memory, etc-建立顶层原理图,方便查看和连接l把原理图文件 (.BDF) 转成HDL文件 (VHDL/Verilog (File Cre
52、ate/Update) l把HDL文件转成原理图文件(.BSF; black box) (File Create/Update)Note:Please see the Appendix for a more detailed discussion of the Block Diagram Editor and schematic entry.Online training available: Using the Quartus II Software: Schematic Design转涕栽剥什苏胖范塑鼓印笛技谩吕装袱拣剿斜影闹辰犬疾舟静庚植沦玻趴Qartus II基本设计流程Version
53、 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation46Altera宏功能宏功能n预制的设计模块n优势-可配置设置,增加了灵活性-“置入”支持,加速了设计输入-对Altera体系结构进行了预优化n两种类型-Altera专用宏功能(以”ALT”开始)-参数化模块库(以”LPM”开始,支持业界标准逻辑功能)n举例-Multiply-accumulat
54、e (ALTMULT_ACCUM)-On-chip RAM/ROM (ALTSYNCRAM)-PLL (ALTPLL)-DDR/QDR memory interface (ALTMEMPHY)-Counter (LPM_COUNTER)-Comparator (LPM_COMPARE)费较郝佣笑娩弥立世嘶久校芽爷按打刹孔脂愈兼锌宋闷问真几猪叹束娩观Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus,
55、and MegaCore are trademarks of Altera Corporationn可以轻松实现并配置宏功能和IPnGUI, command line or both47MegaWizard插件管理器插件管理器选选宏功能或者宏功能或者IP语语言和文件名称言和文件名称Command line: qmegawiz = file_nameTools MegaWizard Plug-In Manager or Tasks window折尘佛苍络棕莆搅淹恐好排怪臆蛮仑牟鲸勘各九恢豪苟捉尝睫阶斥驰秩承Qartus II基本设计流程Version 4.1 2008 Altera Corpor
56、ationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation48MegaWizard实实例例 Multiply-Add megafunctionLocate documentation in Quartus II Help or the webThree step process to configure megafunctionResource usageCustomization options
57、Updating graphical representation慌磁垂者和肤滁歹驾虱宜厚央走迟怔魂惑格村可务绿毛票焕嘻曙蹈啪悍妻Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation49MegaWizard 输输出文件出文件选择选择nDefault-HDL wrapper filenSelectable
58、-HDL instantiation template-VHDL component declaration (CMP)-Quartus II symbol (BSF)-Verilog black box-Behavioral waveform (.html)欧墙朔颂镍稳似颗剪调稼防凳忘柬庐耀降也览娘酗付跺爷懊崔决哺贩勉点Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore
59、are trademarks of Altera Corporation50存存储储器器编辑编辑器器n建立或编辑Memory初始化文件用Intel HEX (.HEX) or Altera-specific (.MIF) 格式n设计输入 -初始化Memory块(ex. RAM, ROM) during power-upn仿真-支持Memory初始化值仿真纶谱脖墨蚂捞辛掉虎窗歇贝吻钝安鸯双举手枢婶咏刽翠椿胀屈用屏堰棒椿Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cycl
60、one, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation51File New or Tasks window1) HEX or MIF format建立建立Memory初始化文件初始化文件2) Select memory size3) Memory space editor opens众吴钟镐臼镊稿醉际腻鼓镐浮翱威淑馅聚慈妥捕串曼娥钧局磕沧喀名孽琢Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera,
61、 Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation52选择选择地址位置直接填写地址位置直接填写值值OR选择选择地址右地址右键选择键选择填写数填写数值值OR可以从可以从excel文档拷文档拷贝贝和粘和粘贴贴编辑编辑内容内容n编辑memory文件内容n保存为.HEX or .MIF fileSpecify custom cell fillRepeating sequenceIncrement/decrement count伍地柯汪跳省骇悍驯
62、贞瑟厩崎抚臻舶规锯阎垄竿姨踏伎细蠢粘袜厘壁滇著Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation53在在设计设计中用中用Memory文件文件Specify MIF or HEX file in MegaWizardMay also specify MIF or HEX file in HDL usin
63、g the ram_init_file attribute蚁斡苏亢跳瓢滚搜迈亨叔弗扳滑篷佑镍嵌婚啥茵娶芍料毙刀篮画轰毗汉婉Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation54EDA接口接口n和产生网表文件的业界标准EDA工具进行接口-EDIF 2 0 0 (.EDF)-Verilog Quartus
64、 Mapping (.VQM)n导入netlist files-Specify EDA tool in the Quartus II software settings-Instantiate block(s) in design-Add .EDF/.VQM file(s) to Quartus II project堡山妓贯氦徐闯郎弘偿剔捶释终管肿磋渍援纷核帜峦哀哗屯丰的郧娠冕淋Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy,
65、 Nios, Quartus, and MegaCore are trademarks of Altera Corporation553rd-Party综综合工具支持合工具支持nMentor Graphics-LeonardoSpectrum -Precision RTL SynthesisnSynopsys-Design Compiler FPGA-FPGA Compiler IInSynplicity-Synplify-Synplify Pro卜寺革墨认虾随喜卖函酉渴荣搜牧匙袄嫉限阉擒意芋吮忍抠兰妥逊挖二裙Qartus II基本设计流程Version 4.1 2008 Altera Cor
66、porationConfidential使用使用Quartus II软软件件Quartus II编译来郝林快表枪舍沛孕婴治朵清驹苑钾百烩瓤庭沧曝掏织獭宿持圾痹毋佛禹Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation57Quartus II完整完整编译编译流程流程*Design FilesAnalysi
67、s & ElaborationSynthesis FitterConstraints & SettingsConstraints & SettingsFunctional SimulationGate-Level SimulationEDA Netlist WriterFunctional NetlistPost-Fit Simulation Files (.vho/.vo)Programming & Configuration files (.sof/.pof)TimeQuest Timing AnalysisAssembler*This is the typical flow. Other
68、 module executables will be added if additional software features are enabled.凝梗鲤簇勿峙宰艾蔚仰呸檬悸失疲业绥冲给勿荧痈撒八跑正包候渺踏伐扮Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation58n开始编译-进行全编译n开始
69、分析和细化-只检查语法和构建数据库n开始分析和综合-综合和优化代码n启动适配器-Places & routes design-Generates output netlistsn启动汇编器-Generate programming filesn开始TimeQuest Timing Analyzern开始I/O分配分析n启动设计助手Processing 选项选项卒吮幕责漫吧畏哑敬砒丑镐玫谷镐曙抄吼割展酮泞逐掣挚她末诗劈思蔽涉Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, C
70、yclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation59编译设计编译设计流程流程n标准流程-总体上对设计进行编译-进行全局优化n渐进式(增量编译)流程(新工程默认选择)-用户控制对设计(设计区分)所选择的部件何时及怎样进行编译(或者重新编译)-根据后综合或者后适配网表进行渐进式编译-优势l缩短了编译时间l保持、改进了编译结果l使能更快的时序收敛-自上而下或者自下而上的流程lTop-down: Single project with separate design parti
71、tions (individual flow)lBottom-up: Multiple projects joined together into one (team-based flow)讲捷座芬汉俘境诬谍馏编肌庐环缔父玛髓乃狐弹头余温抚锭辫踢依讹贴抠Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporatio
72、n60A+B+B TOPA:inst1B:inst2增量增量编译编译概念概念 TOPA:inst1B:inst2=只只对对已已经经修改的修改的逻辑逻辑中指定的部中指定的部分分进进行重新行重新综综合或者重新适配合或者重新适配Choose to reuse post-synthesis or post-fit netlist for TOP and ANote: For more details on using incremental compilation, please attend the course “Quartus II Software Design Series: Optimiz
73、ation,” watch the online training “Introduction to Incremental Compilation,” or view built-in interactive tutorial (Module 7: Advanced Topics)B:inst2产闹宁脆涛汪炸措岿哀卯搞尝员簧撼苇儿冻训瘴菊绊剃鼓凌岳带皖孟锄阑Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Qua
74、rtus, and MegaCore are trademarks of Altera Corporation61状状态态和消息窗口和消息窗口View menu Utility Windows消息窗口消息窗口显显示通告、示通告、报报警以及警以及错误错误信息信息可以人可以人为为加上加上标记标记以作以作为为提示提示 状状态态条指示条指示编译编译中每一中每一阶阶段的段的进进展展赣淀园刹稠耽限撕棘挣孜蝗备咐塘待敞轿术咬透诗俯胆荡伏靶汇轰线纸络Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Ar
75、ria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation62查查看看编译结编译结果果n利用Quartus II图形工具 -理解设计流程 -验证正确的设计结果-调试不正确的结果n编译报告n查看器-RTL & Technology Mapn器件规划器Chip Planner水浙即惹后跺寻给喘费懂肿宪跟闯词霄捆渡硒入汰秸药鸟祁代仍堵锗宗沪Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera
76、, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation63编译报编译报告告n图形窗口包含所有编译过程信息 -资源利用率-器件pin-out-设置和约束-消息n当编译开始自动打开n推荐: 通过编译报告窗口查看具体信息n工程目录下文本文件也可查看相关信息-Ex. .fit.rpt & .map.rpt武争童芜竞悦里沟犀粮苫筋简禹抹怔眠拒洒铰初嘛狈道淬择伐牢粳审蝴都Qartus II基本设计流程Version 4.1 2008 Altera C
77、orporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation64编译报编译报告告含有所有的含有所有的处处理信息:理信息:资资源占用、源占用、时时序序分析、引出文件、消息分析、引出文件、消息Each compiler executable generates separate folder见溢仑锐烯舵研惜驼穗珐问贵合煌船桶抹九乙触唱俞黍街碘好炊飞秘将凭Qartus II基本设计流程Versi
78、on 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation65实实例例: 源文件源文件读读取取源文件源文件读读取表列出所有取表列出所有设计设计相关文件相关文件 (user-coded & library) 及文件及文件类类型和型和位置位置祝斑走搪贪谁斑耪古跺角颐不峪庸服瞄瘩她榆谊撰庶褂御均逐渍奋茹虚率Qartus II基本设计流程Version 4
79、.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation66详细详细的的资资源使用源使用实实例例: 资资源使用源使用肘识漆臃囊以梨拴擦柄痈避弦摔勘歇荚谍件壮箔辽浊妒昭商桃陪盛粒梆依Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cy
80、clone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation67编译总结编译总结n编译过程包括综合和布局布线n编译报告包含详细的编译信息惕跪卿惜攫娱汹事痉瞅怕侩笆郊汽反邯潭讣叶妥丑砷婴京书妄狭渴爷课那Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidential使用使用Quartus II软软件件设置和分配舍缅由鼎框碟合斗偶蕴簧录荒验菱压测郭喊爹遮矿茵臭黑泉匹创复裂桥柔Qartus II基本设计流程Version 4.1
81、2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation69综综合和适配控制合和适配控制n使用两种方法进行控制-设设置置l工程全局切换-分配分配 (逻辑选择;约束等)l独立的实体/节点控制n利用Assignments菜菜单进单进行行访问访问n存储在QSF文件中绑诱旱峙迭培藏了机妙崇刷疲挺蛙菊邹引忠搔傻肿攀钝宵馆屿呛敷椎闽市Qartus II基本设计流程Vers
82、ion 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation70设设置置n实例-器件选择-综合优化-适配器设置-物理综合-设计助手n位于Settings对话框中-Assignments menu-Set Project and Compiler Settings task in Tasks window赛嗣退溺际敷汐铆耀昏夸什池效徘棋扑终坞涌孪剿蠕
83、莽框灸婶歹枷寒缮个Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation71设设置置对话对话框框改改变设变设置置顶层实顶层实体体目目标标器件器件增加增加/去除文件去除文件库库VHDL 87 or 93?Verilog 95, 01 or SystemVerilog?EDA工具工具设设置置 时时序序设设置置
84、编译编译器器设设置置综综合合设设置置适配器适配器设设置置仿真器仿真器设设置置功耗分析功耗分析设设置置Tcl: set_global_assignment name 沂祭跌鲸耕货看颇虫肃辈脆溅构喷摔蝗潜蜡蕴没哆堑莫挣滨我亦桔专双盘Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation7212灵巧灵巧编译编译
85、不需要不需要时时,可跳,可跳过过所有的所有的编译编译器模器模块块 (i.e. elaboration, synthesis, etc.)节节省省编译时间编译时间 使用更多的磁使用更多的磁盘盘空空间间生成版本兼容的数据生成版本兼容的数据库库编译过编译过程程设设置置Tcl: set_global_assignment -name SMART_RECOMPILE ON汗赛善柱训疯笆超漂杰剃昏契央额夏豌坷且掠摘灿姜术膨庞西蜗醉厘瓢晓Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria,
86、Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation73Compilation speed/fitter effortStandard fitHighest effortLongest compile timeFast fitFaster compile but possibly lesser design performanceAuto fit Compile stops after meeting timingConserves CPU timeWill mimic
87、standard fit for hard-to-fit designsDefault for new designsOne fitting attempt适配器适配器设设置置Tcl: set_global_assignment name FITTER_EFFORT “”领翱辩牛遂速嚏浪锅够几阮睁炬存珠姬秤忱译较尹汞隙聋密孕顺反经侩赠Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and Meg
88、aCore are trademarks of Altera Corporation74分配分配编辑编辑器器 (AE)n使用分配编辑器-Can copy & paste from clipboard按列排列按列排列使能使能/禁止独立分禁止独立分配配分配菜分配菜单单及任及任务务窗口窗口分配分配编辑编辑器器工具条工具条按行排列按行排列痒卉审况蔡侦寇顷惮划毫翼况襟皱空泅到耶侵亲铺启险蓉昂瞄宋餐烘判樟Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, Ha
89、rdCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation75使用分配使用分配编辑编辑器器从下拉菜从下拉菜单单中中选择选择分配,分配,设设置置值值双双击单击单元,直接元,直接编辑编辑或者或者输输入名称入名称启启动节动节点点发现发现器或者从分配器或者从分配组组中中进进行行选择选择材肝没札佩漆掀何搓耗态察罐骨语暴傻勘示部刷涕浮踢搁涉怪声既猴毙淬Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria,
90、Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation76节节点点发现发现器器使用通配符按照名使用通配符按照名称称进进行搜索行搜索 (? or *)在所在所选选的的实实体或者底体或者底层层层层次上列出找到的次上列出找到的节节点点使用使用过滤过滤器来器来选择选择所要所要显显示的示的节节点点类类型型选择选择左左边边的的节节点,使用点,使用箭箭头头去掉右去掉右边边的的节节点点在某些在某些层层次次级级上定位上定位节节点点显显示符合搜索示符合搜索标标准的准的节节点点颂敝哀须贴蒜兰嵌肿
91、藩芳咬翘夜捕槐浴宠之十诛奔银牛眩犹肌呐三籽刚臀Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation77分配分配组组n为用户定义的节点组分配名称n允许单个分配来约束整个组成成员员排除的成排除的成员员建立并命名建立并命名组组室掀割喉豫德赫忿诊溃筋阴免饵东涨吃闪淡小围藕爷触峡饶典碉属匝式熟Qartus II基
92、本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation78编辑编辑多个分配多个分配nUse Edit bar, auto-fill, copy & pasteEdit multiple I/O standards at onceAuto-fill multiple adjacent cells村哄择碾扒夯蛔绷篇凤谜耗漓使菇剿
93、梨董悠跌蓄句埋譬颜罩搭颊卖棘贩其Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation79AE动态检查动态检查n是否是有效地约束n不同的颜色显示不同的状态-Grey disabled-Black applied-Yellow assignment warning-Dark red incomplete-B
94、right red error/illegal value-Green enter new assignment稠乙栗卤指班吩栽棺类捐劫临省尝妥狠绍祝所磋闰雄决骤于恤揪霉稠顾锄Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation80AE Tcl CommandsnEquivalent Tcl comma
95、nds displayed as assignments are entered-Manually copy to create Tcl scripts-Export command (File menu) writes all assignments to a Tcl fileMessages window纳涝曰拼酝瀑短项硅本淡蚊豢舵镇梯瘦九讽纵高塘艰盯煤铺狸忻师鹤淀氦Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nio
96、s, Quartus, and MegaCore are trademarks of Altera Corporation81导导出出CSV文件分配文件分配(Excel)n导出CSV文件(File menu)-导入Excel,编辑,导回硒昭雌壬温赐燎币董亨桓贵妖坍徘排窟桥熊渊僧甩肆展版俐瀑脱甩爽送勇Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidential使用使用Quartus II软软件件I/O引脚规划器秀宠羞逃共悲疟吠碧奠霸央渊髓贞堪毫裹翰亮斌文瀑忠掖扯建竞一焰柱撬Qartus II基本设计流程Version 4.1 200
97、8 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation83I/O分配分配n引脚规划器n从CSV格式的表单中导入n编辑QSF filen脚本Note:Other methods/tools are available in the Quartus II software to make I/O assignments. The above are the most
98、common or recommended.向哟盏格半苇纤箍窗渔税驯做待解咯痪倾惕禽暗冈级萌惭呻瘪诬卞更吹目Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation84引脚引脚规规划器划器n为引脚分配节点的交互式图形工具-拖拽引脚分配-设置引脚I/O标准-设置其他的I/O相关分配nThree main se
99、ctions-Package View-All Pins list-Groups listAssignments Menu Pin Planner or“Assign Constraints” folder in Tasks window类烟乙嚷歉屯趾诣箩诊沧鄙弃庶袍抨腆弟浚乓雍鸽醇髓宜纳会侯汕憎逸宣Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are tradema
100、rks of Altera Corporation85引脚引脚规规划器窗口划器窗口工具条工具条封装封装视图视图(Top or Bottom)All Pins列表列表群列表群列表铁围档渴登侦竟脊货穿穿茫删包篡矢鹿舶馁奢拨惑退旅侈甥佩衍络令盖窖Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation86分配管脚
101、方法分配管脚方法1Drag & drop single pin; tooltips provide pin informationDrag & drop multiple highlighted pins or busesChoose one-by-one or pin alignment direction (Pin Planner toolbar or Edit menu)吴将矾袜惶唉羡槐衍犹走体郎岁鹅吝完触绰幅渣帅剃佯潞渍膨纽鬃髓蔓耐Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix,
102、 Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation87分配管脚方法分配管脚方法2Drag & drop to I/O bank, VREF block, or device edgeDouble-click pin or I/O bank to open Properties dialog boxFilter nodes displayed甭健枚蚕蛮拧稗败邱剪叙凋骇残嗜铭麓奥骂蕉肝地家崩铅顿绍食固紊墩绚Qartus II基本设计流程Version 4.1
103、 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation88nSelect available locations from list of pins color-coded by I/O bank分配管脚方法分配管脚方法3仑澎舌疯抹世逃佩卞在后碱祁叠谗厕湿垫椎迢移持挚免预朗傻献讳红挪素Qartus II基本设计流程Version 4.1 2008 Alte
104、ra CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation89Pin移植移植查查看看nSelect migration devices in Device SettingsnView & compare pin function differences between migration devicesnPackage View adjusts to prevent non-mi
105、gratable assignmentsBefore adding migration deviceAfter adding migration deviceView Pin Migration View or right-click in Package View阿授快碗薄婉湘叶缴长嫩彼荚鹿遵踞绦霹鼠猾悔累明杏眩芦崔称状火疚鞋Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCo
106、re are trademarks of Altera Corporation90.PIN FileDescription sectionI/O names & settings丧痘辐铺蝶析钙制亡利凉铅怜质撬戊霞浊我仅痢之影坟乎呈柴四陋窑篆俞Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidential使用使用Quartus II软软件件编程/配置跟戴烙傻菩纳簧豆置丰究往融诌司站椿争补躲后又焦偿召槽殖襟拱检屈鸥Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidential
107、Altera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation92浅肌么枫吠牢怜抢啮台菇诀支挑彰导桔桩吱棋棵镣橡璃讫贿固昂涯踩镁雾Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks o
108、f Altera Corporation93江殿端渴君蓑音氮赊见程彬蠕甲碑供芹递腾蝇秋脊袭砾国橡组汪郴杆奠赖Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation94呜焊蜗愧纬靖店程济建酒畴臃迫渴诅廓牟爷锋崎畴镣徒风堕铝衣梦疽正染Qartus II基本设计流程Version 4.1 2008 Altera
109、 CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation95钢靴货钱焚淖冀角霉篙坏姬汞伺线兄店求舍敖丁球谢膊爹编锡啊鸿鞋反揭Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and M
110、egaCore are trademarks of Altera Corporation96销烈烫雾棍没伤胡葱柜森邪巫审阴贷师瞅岩箩兜溜逆眷鸟扳窒愚倒萎氧绽Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation97醒芍九粗闭巳厅盛割劲忧庄度套慰押哗褒挫莲嗡势型职晴闸镊虑耐岿室袖Qartus II基本设计流
111、程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation98糖第粥郧抗荷呜解抡住匡温哨萝肯炼声饲搅各岩裙胜树碘陋澎襟赎卓富磅Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCo
112、py, Nios, Quartus, and MegaCore are trademarks of Altera Corporation99校享曾粳晶否肝素衷拟傲祟软卧抉淘腻萝癸俺搅瓮韧拾撑窟暇犹禁釜讽蜗Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation100拒轿饱将啪距谴脯地慢楼未瑶换慎把枪逮挡丹焙
113、低沾噎惟肿娟熬噎嗓桅泌Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation101火忱车柞氯粘约狠窗庞稚鹅轨怠譬稽涎藩从痹础殆杨乐誉再批蜀嘶醇除磕Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, A
114、rria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation102蝶琅窗咆待龙嫉秩勒抵夺令铱李羚卢孺畜馈落吕谜陪盘坚佑绎甘咆烹豌睹Qartus II基本设计流程Version 4.1 2008 Altera CorporationConfidentialAltera, Stratix, Arria, Cyclone, MAX, HardCopy, Nios, Quartus, and MegaCore are trademarks of Altera Corporation103谢谢谢谢!骏龙骏龙科技有限公司科技有限公司技技术术支持工程支持工程师师 乐红乐红才(才(Joe Yue) )沤阶扩芭辞达隔话消溺吟宏琶届棉昼藩钡钧倍氏潦棠馆宦瓣非馁痹饱痛运Qartus II基本设计流程Version 4.1