微机原理第六章ppt课件

上传人:枫** 文档编号:591519221 上传时间:2024-09-18 格式:PPT 页数:67 大小:907KB
返回 下载 相关 举报
微机原理第六章ppt课件_第1页
第1页 / 共67页
微机原理第六章ppt课件_第2页
第2页 / 共67页
微机原理第六章ppt课件_第3页
第3页 / 共67页
微机原理第六章ppt课件_第4页
第4页 / 共67页
微机原理第六章ppt课件_第5页
第5页 / 共67页
点击查看更多>>
资源描述

《微机原理第六章ppt课件》由会员分享,可在线阅读,更多相关《微机原理第六章ppt课件(67页珍藏版)》请在金锄头文库上搜索。

1、2第六章第六章微机接口技术概述微机接口技术概述第一节第一节接口技术的根本概念接口技术的根本概念第二节第二节I/O端口的编址和译码端口的编址和译码第三节第三节CPU与外设间的数据传送方式与外设间的数据传送方式第四节第四节接口技术的现状与开展趋势接口技术的现状与开展趋势第五节第五节接口的设计与分析接口的设计与分析31.假设假设(AL)=73H,(DX)=21CH,(端口端口21CH)=95H执行执行MOVDX,21CH作业作业:6-3,6-6,6-10INAL,DX(1)根据根据8088CPU在最小方式下的在最小方式下的I/O、存储器读、存储器读/写时序,写时序,描画执行描画执行IN指令时指令时8

2、088CPU有关引脚的详细变化过程。有关引脚的详细变化过程。(2)根据根据IBMPC/XT总线的总线的I/O读、写周期时序,读、写周期时序,描画执行描画执行IN指令时,指令时,IBMPC/XT总线上有关引脚的详细过程总线上有关引脚的详细过程假设执行的是假设执行的是MOVDX,21CHOUTDX,AL有关引脚的详细变化过程有关引脚的详细变化过程?42.编写程序,采用无条件传送方式,从端口编写程序,采用无条件传送方式,从端口256h读入读入100h个字节数据个字节数据3.某某I/O接口的形状存放器接口的形状存放器D0位存放外位存放外设设BUSY的的形状形状,其地址为其地址为2A3h,D0=1表示外

3、设忙表示外设忙;该接口的数据存放器的地址为该接口的数据存放器的地址为2A1h。编写程序,。编写程序,用查询方式将用查询方式将data为首地址的为首地址的100个内存单元内容个内存单元内容从该从该I/O接口输出。接口输出。5复习:复习:P212P224,P236P239第二版第二版预习:预习:P223P236,P239P247第二版第二版6第一节第一节接口技术的根本概念接口技术的根本概念一、一、接口的概念和功能接口的概念和功能二、二、接口电路的典型构造接口电路的典型构造7一、一、接口的概念和功能接口的概念和功能1、接口和接口技术、接口和接口技术2、为什么要用接口电路?、为什么要用接口电路?81、

4、接口和接口技术、接口和接口技术接口接口指指CPU、存存储储器器、外外设设之之间间经经过过总总线线进进展展衔衔接的电路部分,接的电路部分,是是CPU与外界进展信息交换的中转站。与外界进展信息交换的中转站。接口技术接口技术是是研研讨讨CPU如如何何与与外外部部世世界界进进展展最最正正确耦合与匹配,确耦合与匹配,实实现现双双方方高高效效、可可靠靠地地交交换换信信息息的的一一门技术,门技术,是是软软件件、硬硬件件结结合合的的表表达达,是是微微机机运运用的关键。用的关键。9数据总线数据总线DB控制总线控制总线CB地址总线地址总线AB存存储储器器I/O接接口口输输入入设设备备I/O接接口口输输出出设设备备

5、CPU微型计算机的构造表示图微型计算机的构造表示图10外外设是用来是用来实现人机交互的一些机人机交互的一些机电设备。外外设处置信息的置信息的类型、速度、通型、速度、通讯方式与方式与CPU不匹配不匹配,不能直接挂在不能直接挂在总线上,必需上,必需经过接口和系接口和系统相相连2、为什么要用接口电路?、为什么要用接口电路?11二、接口电路的典型构造二、接口电路的典型构造从从编编程角度看,接口内部主要包括一个或多个程角度看,接口内部主要包括一个或多个CPU可以可以进进展展读读/写操作的存放器,又称写操作的存放器,又称为为I/O端口。端口。各各I/O端口由端口地址区分。端口由端口地址区分。I/O端口端口

6、1I/O端口端口2I/O端口端口3地址地址译码译码数据数据缓冲缓冲控制控制电路电路外外设设ABDBCBCPU12 按存放信息的不同,按存放信息的不同,I/O端口可分为三种类型端口可分为三种类型数据端口:用于存放数据端口:用于存放CPU与外设间传送的数据信与外设间传送的数据信息息形状端口:用于暂存外设的形状信息形状端口:用于暂存外设的形状信息控制端口:用于存放控制端口:用于存放CPU对外设或接口的控制信对外设或接口的控制信息,息,控制外设或接口的任务方式。控制外设或接口的任务方式。10010101(形状端口形状端口)01101010(数据端口数据端口)11000110(控制端口控制端口)地址地址

7、译码译码数据数据缓冲缓冲控制控制电路电路外外设设ABDBCBCPU13CPU对外设输入对外设输入/输出的控制,输出的控制,是经过对接口电路中各是经过对接口电路中各I/O端口的读端口的读/写操作完成。写操作完成。I/O端口端口1I/O端口端口2I/O端口端口3地址地址译码译码数据数据缓冲缓冲控制控制电路电路外外设设ABDBCBCPU14 第二节第二节 I/O I/O端口的编端口的编址和译码址和译码一、一、I/O端口的编址方式端口的编址方式二二、8088的的输输入入/输输出出指指令令和和时时序序三、三、I/O端口的译码端口的译码15一、一、I/O端口的编址方式端口的编址方式1、端口与存储器分别独立

8、编址、端口与存储器分别独立编址2、端口与存储器一致编址、端口与存储器一致编址16特点:特点:端口与存端口与存储器分器分别独立独立编址址端口不占用内存空端口不占用内存空间设有有专门的的I/O指指令令对端端口口进展展读写,写,对内内存存操操作作的的指指令令不不能能用用于于I/O端口端口例例 Intel的的80X86系列、系列、Z80系列系列I/O空空间间内内存存空空间间1、端口与存储器分别独立编址、端口与存储器分别独立编址I/O映射方式映射方式例例 MOV 10H , AL 对内存操内存操作作 IN 10H, AL 对端口操端口操作作 172、端口与存储器一致编址、端口与存储器一致编址存储器映射方

9、式存储器映射方式特点特点:I/O端口相当于内存的一部分端口相当于内存的一部分,使内存容量减小使内存容量减小对I/O端端口口的的读/写写与与对存存储器器的的读/写写一一样,一一切切可可对内内存存操操作作的的指指令令对I/O端端口口均均可运用,可运用,指令系指令系统中不中不专设I/O指令。指令。例例 motorola的的M6800系系列列 日立日立H8S单片机系列单片机系列内内存存空空间间I/O空空间间18二二、8088的输入的输入/输出指令和时输出指令和时序序1.输入指令输入指令IN2.输出指令输出指令OUT3.输入输入/输出指令时序输出指令时序19输入输入/输出指令实现输出指令实现I/O端口与

10、端口与CPU之间的数据传之间的数据传送送I/O端口端口1I/O端口端口2I/O端口端口3地址地址译码译码数据数据缓冲缓冲控制控制电路电路外外设设ABDBCBCPU20可可寻寻址址220=1M个内存个内存单单元元内存范内存范围围00000FFFFFh内存内存单单元的地址有元的地址有5种种寻寻址址可可寻寻址址216=64K个个I/O端口端口I/O端口范端口范围围0000FFFFHI/O端口的地址由端口的地址由一个一个8位二位二进进制数直接制数直接寻寻址址或或DX存放器存放器间间接接寻寻址址8088CPU采用采用I/O端口与存储器分别独立编址端口与存储器分别独立编址I/O空空间间内内存存空空间间21

11、1.输入指令输入指令INport为数字方式的端口地址为数字方式的端口地址,大小为大小为0255或或0FFH22例例 (1) IN AL,28H 假假设 (28H端口端口) = 1010 1111B 执行后行后 (AL) = (28H端口端口) = 0AFH(2) IN AX,28H 假假设 (28H端口端口)= 1010 1111B (29H端口端口)= 0101 0000B 执行后行后 (AL) = (28H端口端口) = 0AFH (AH) = (29H端口端口) = 50H(3) MOV DX, 300H IN AL,DX 假假设 (300H端口端口)= 69H 执行后行后 (AL) =

12、 (300H端口端口) = 69H232.输出指令输出指令OUTport为数字方式的端口地址为数字方式的端口地址,大小为大小为0255或或0FFH24(1)OUT21H,AL假设假设(AL)=11001100B执行后执行后21H端口端口)=0CCH(2)MOVDX,21BHOUTDX,AL假设假设AL=10100110B执行后执行后(21BH端口端口)=(AL)=0A6H例例25(1)累加器累加器AL、AX的公用指令的公用指令对输入指令对输入指令IN,目的操作数只能为,目的操作数只能为AL,或或AXINAL,21HINAX,DXINBX,21H对输出指令对输出指令OUT,源操作数只能为,源操作

13、数只能为AL,或或AXOUT20H,ALOUTDX,AXOUTDX,CX输入输入/输出指令输出指令IN、OUT特点:特点:262端口地址可由直接方式或间接方式给出端口地址可由直接方式或间接方式给出 例例 OUT 219H, AL MOV DX, 219H OUT DX, AL例例 IN AL, 218H MOV DX, 218H IN AL, DX当端口号当端口号FFH,即,即255时时,需把端口号放在需把端口号放在DX存放器中,存放器中,DX是一个是一个16位存放器,范围在位存放器,范围在0FFFFh之间。之间。当端口号在当端口号在0FFH,即,即0255时时,可在指令中直接指定端口号可在指

14、令中直接指定端口号例例INAL,21HOUT20H,AL27当端口号在当端口号在0FFH,即,即0255时,时,可在指令中直接指定端口号,称长格式指令可在指令中直接指定端口号,称长格式指令当端口号当端口号FFH,即,即255时时,需把端口号放在需把端口号放在DX存放器中,称短格式指令存放器中,称短格式指令缘由是运用直接端口方式的指令机器码相对长缘由是运用直接端口方式的指令机器码相对长例例指令指令机器码机器码INAL,21HE421;两个字两个字节节OUT20H,ALE620INAL,DXEC;一个字一个字节节OUTDX,ALEE28(3)可进展字节或字传送可进展字节或字传送由指令中由指令中AL

15、或或AX的类型决议的类型决议(4)留意端口地址与端口内容的区留意端口地址与端口内容的区别别INAL,21H不等于不等于(AL)21HOUTDX,AL不等于不等于(DX)(AL)INAL,20H;字节传送字节传送INAX,20H;字传送字传送INAL,DX;字节传送字节传送INAX,DX;字传送字传送OUT20H,AL;字节传送字节传送OUT20H,AX;字传送字传送OUTDX,AL;字节传送字节传送OUTDX,AX;字传送字传送293.输入输入/输出指令时序输出指令时序当当CPU执行执行IN指令时,进入指令时,进入I/O端口读周期端口读周期当当CPU执行执行OUT指令时,进入指令时,进入I/O

16、端口写周期端口写周期掌握掌握1在最小方式下,在最小方式下,I/O端口的读、写周期。端口的读、写周期。2IBMPC/XT总总线线上上I/O端端口口的的读读、写写周期。周期。30(1)在最小方式下,在最小方式下,I/O端口的读、写周期端口的读、写周期与读、写存储器的过程类似,不同之处:与读、写存储器的过程类似,不同之处:1IO/M变高,变高,CPU操作操作I/O端口。端口。2端口的地址信号出如今端口的地址信号出如今A15A0上,上,A19A16全为低电平。全为低电平。31T1T2T3T4IO/MA15A8A19A16/S6S3ALERDDT/RDENCLKA7A0D7D0AD7AD08088GND

17、A14A13A12A11A10A9A8AD7AD6AD5AD4AD3AD2AD1AD0NMIINTRCLKGNDVCCA15A16/S3A17/S4A18/S5A19/S6SSOMN/MXRDHOLDHLDAWRIO/MDT/RDENALEINTATESTREADYRESET8088CPU最小方式下最小方式下,I/O端口读周期时序端口读周期时序328088CPU最小方式下最小方式下,I/O端口写周期时序端口写周期时序T1T2T3T4IO/MA15A8AD7AD0ALEWRDT/RDENCLKA7A0D7D08088GNDA14A13A12A11A10A9A8AD7AD6AD5AD4AD3AD2

18、AD1AD0NMIINTRCLKGNDVCCA15A16/S3A17/S4A18/S5A19/S6SSOMN/MXRDHOLDHLDAWRIO/MDT/RDENALEINTATESTREADYRESETA19A16/S6S333(2)IBMPC/XT总线上总线上I/O端口的读、写周期端口的读、写周期与读、写存储器的过程类似,不同之处:与读、写存储器的过程类似,不同之处:1IOR、IOW变低,变低,CPU操作操作I/O端口。端口。2端口的地址信号出如今端口的地址信号出如今A15A0上,上,A19A16全为低电平。全为低电平。3.添加了一个添加了一个TW等待周期等待周期34lIBMPC/XT总线上

19、总线上I/O端口读周期端口读周期l当当CPU执行执行IN指令时,进入指令时,进入I/O端口读周期端口读周期,l从指定的端口读入数据到从指定的端口读入数据到CPU中。中。I/O端口端口1I/O端口端口2I/O端口端口3地址地址译码译码数据数据缓冲缓冲控制控制电路电路外外设设ABDBCBCPU35I/O端口读周期时序端口读周期时序D7D0IORA15A0ALECLKT4T1T2T3 TwGNDRESET+5VIRQ2-5VDRQ2-12V+12VGNDMEMWMEMRIOWIORDACK3DRQ3DACK1DRQ1DACK0CLOCKIRQ7IRQ6IRQ5IRQ4IRQ3DACK2T/CALE+

20、5VOSCGNDI/OCHCKD7D6D5D4D3D2D1D0I/OCHRDYAENA19A18A17A16A15A14A13A12A11A10A9A8A7A6A5A4A3A2A1A0 IBMPC/XT总线插槽引脚信号总线插槽引脚信号36例例 假设假设 端口端口218H218H的内容为的内容为7BH7BH MOV DX MOV DX,218H218H IN AL, DX IN AL, DX信号信号变变化化过过程:程:A15A0上出上出现现地址信号地址信号0000001000011000B(由由CPU发发出出)ALE上出上出现现正脉冲信号正脉冲信号IOR变变低低D7D0上出上出现现有效信号有效信

21、号01111011B(由端口送出由端口送出)IOR变变高,数据高,数据进进入入ALD7D0IORA15A0ALECLKT4T1T2T3 Tw37lIBMPC/XT总线上总线上I/O端口写周期端口写周期l当当CPU执行执行OUT指令时,进入指令时,进入I/O端口写周期端口写周期,l将数据写入指定的端口。将数据写入指定的端口。I/O端口端口1I/O端口端口2I/O端口端口3地址地址译码译码数据数据缓冲缓冲控制控制电路电路外外设设ABDBCBCPU38I/O端口写周期时序端口写周期时序A15A0ALEIOWCLKD7D0T4T1T2T3TwGNDRESET+5VIRQ2-5VDRQ2-12V+12V

22、GNDMEMWMEMRIOWIORDACK3DRQ3DACK1DRQ1DACK0CLOCKIRQ7IRQ6IRQ5IRQ4IRQ3DACK2T/CALE+5VOSCGNDI/OCHCKD7D6D5D4D3D2D1D0I/OCHRDYAENA19A18A17A16A15A14A13A12A11A10A9A8A7A6A5A4A3A2A1A0 IBMPC/XT总线插槽引脚信号总线插槽引脚信号39例例 假假设设 (AL) = 92H MOV DX,319H OUT DX,AL信号信号变变化化过过程:程:A15A0上出上出现现地址信号地址信号0000001100011001B(由由CPU发发出出)ALE

23、上出上出现现正脉冲信号正脉冲信号IOW变变低低D7D0上出上出现现有效信号有效信号10010010B(由由CPU送出送出)IOW变变高,高,数据写入数据写入319H端口端口A15A0ALEIOWCLKD7D0T4T1T2T3Tw40三、三、I/O端口的译码端口的译码1.译码电路的作用译码电路的作用2.译码电路的构成译码电路的构成3.设计译码电路的方法设计译码电路的方法4.片内译码和片选译码片内译码和片选译码411、译码电路的作用、译码电路的作用将将CPU执执行行IN/OUT指指令令发发出出的的地地址址信信号号“翻翻译译成成欲欲操操作作端端口口的的选选通通讯讯号号,处处理理存存储储器器、I/O设

24、设备备与与CPU衔衔接接时时地址地址总线总线失配失配问题问题。此此信信号号常常作作为为接接口口内内三三态态门门或或锁锁存存器器的的控控制制信信号,接通或断开接口数据号,接通或断开接口数据线线与系与系统统的的衔衔接。接。IN指令指令时时序序A15A0IORCLKD7D0T4T1T2T3TwA15A0CLKIOWD7D0T4T1T2T3 TwOUT指令指令时时序序42该电路在路在CPU执行指令行指令MOVDX,200HINAL,DX将将输入入设备的数据的数据读入入CPU内内AL中中图中中译码电路的作用:路的作用:只当只当A15A0上出上出现200H时,即即0000 0010 0000 0000B输

25、出出0,其他,其他输出出1。例例 一个输入设备的简一个输入设备的简 单单 接接 口口 电电 路路三三 态态缓冲器缓冲器输入输入设备设备数据数据线线IOR地址地址译码译码地址地址线200H000D7D0A15A0与与非非PC总线总线43执行执行:MOV DX,200HINAL,DX三三 态态缓冲器缓冲器输入输入设备设备数据数据线线IOR地址地址译码译码地址地址线200H000D7D0A15A0与与非非PC总总线线IN指令时序指令时序A15A0IORCLKD7D0T4T1T2T3Tw000000100000000044输入设备接口电路,即硬件上保证输入设备接口电路,即硬件上保证:只在只在CPU执行

26、从执行从200H端口输入数据时,端口输入数据时,三态门处于任务形状,使输入设备的数据送上总线侧,三态门处于任务形状,使输入设备的数据送上总线侧,而而CPU执行其它指令时执行其它指令时,三态门均处于高阻形状三态门均处于高阻形状,使输入设备的数据线与总线侧断开使输入设备的数据线与总线侧断开三三 态态缓冲器缓冲器输入输入设备设备数据数据线线IOR地址地址译码译码地址地址线200H000D7D0A15A0与与非非PC总总线线MOVDX,200HINAL,DX思索:其他的指令为什么不可以?思索:其他的指令为什么不可以?45该电路在路在CPU执行指令行指令MOVDX,300HOUTDX,AL将将CPU内内

27、AL中的数据送至中的数据送至输出出设备图中中译码电路的作用:路的作用:只当只当A15A0上出上出现300H时,即即0000001100000000B输出出0,其他,其他输出出1。例例 一个输出设备的简一个输出设备的简 单单 接接 口口 电电 路路PC总线总线锁存器锁存器输出输出设备设备数据线数据线IOW地址地址译码译码地地址址线300H000D7D0A15A0与与非非46OUT指令时序指令时序执行:执行:MOVDX,300HOUTDX,ALA15A0CLKIOWD7D0T4T1T2T3 TwPC总线总线锁存器锁存器输出输出设备设备数据线数据线IOW地址地址译码译码地地址址线300H000D7D

28、0A15A0与与非非000001100000000047输出设备接口电路,即硬件上保证输出设备接口电路,即硬件上保证:只在只在CPU执行从执行从300H端口输出数据时,端口输出数据时,锁存器处于触发形状,其输出随输入变化,锁存器处于触发形状,其输出随输入变化,而而CPU执行其它指令时执行其它指令时,锁存器均处于锁存形状锁存器均处于锁存形状,其输出不随输入变化,其输出不随输入变化,PC总总线线锁存器锁存器输出输出设备设备数据线数据线IOW地址地址译码译码地地址址线300H000D7D0A15A0与与非非MOVDX,300HOUTDX,AL思索:其他的指令为什么不可以?思索:其他的指令为什么不可以

29、?482、译码电路的构成、译码电路的构成74LS译码器译码器门电路:门电路:与门、非门、或门、与非门、或非门等与门、非门、或门、与非门、或非门等译码器:译码器:2-4线译码器线译码器74LS3-8线译码器线译码器74LS4-16线译码器线译码器74LS154等等可用门电路、译码器或者两者的组合实现。可用门电路、译码器或者两者的组合实现。AY0BY1CY2Y3G1Y4G2AY5G2BY6Y749 据端口地址确定地址信号A15A0的取值,用门电路、译码器或两者组合实现满足此取值情况的电路。3、设计译码电设计译码电路的方法路的方法50设计译码电路时:设计译码电路时:1.端口的选通讯号通常为低电平有效

30、端口的选通讯号通常为低电平有效2.除端口的地址信号参与译码外,除端口的地址信号参与译码外,控控制制信信号号IOW、IOR(IO/M、AEN也也可可参参与译码与译码)译译码码电电路路A0A1A14A15IORIOWAEN译码电路表示图译码电路表示图5174LS译码器功能表真值表译码器功能表真值表74LS3-8译码器译码器AY0BY1CY2Y3G1Y4G2AY5G2BY6Y752例例 设计端口地址为设计端口地址为218H的译码的译码电路电路分析分析CPU执执行行IN/OUT指指令令时时,发发出出端端口口的的地地址信号址信号MOVDX,218HINAL,DX或或OUTDX,AL对应对应218H端口的

31、地址信号为取端口的地址信号为取A9A0:A9A8A7A6A5A4A3A2A1A0(地址信号地址信号)1000011000B218H只需满足此地址取值的译码电路均可只需满足此地址取值的译码电路均可53方法一、用门电路实现方法一、用门电路实现218H的地址译码的地址译码数数据据线线DBRDWRCS端口端口译码译码电路电路0A9A8A7A6A5A4A3A2A1A0AENIORIOWI/O接口接口PC总线总线D0D7D0D710000110000&译码电路部分满足:译码电路部分满足:只当地址信号只当地址信号A9A0为:为:A9A8A7A6A5A4A3A2A1A01000011000即即218H时,输出

32、时,输出0,使使I/O接口的接口的CS有效有效否那么输出否那么输出1使使I/O接口的接口的CS无效无效54数数据据线线DBRDWRCS端端口口译码电路译码电路0A9A8A7A6A5A4A3A2A1A0AENIORIOWI/O接口接口PC总线总线D0D7D0D710000110000&留意留意译码电译码电路中:路中:由于高位地址由于高位地址线线A15A10未参与未参与译码译码,即即:地址地址A15A0为为:1000011000均能均能输输出出0,所以所以该电该电路使:路使:一个端口一个端口对应对应多个地址多个地址共共26=64个个218,618,A18,E18等等等等55采用实践芯片参看教材旧采

33、用实践芯片参看教材旧P205新新P215:PC总线总线RDWRCSI/O接口接口D0D7数数据据线线DB端端口口译码电路译码电路0A9A8A7A6A5A4A3A2A1A0AEN+5VIORIOWD0D710000110000&110074LS3074LS2074LS3274LS30为为8输入与非门输入与非门74LS20为为4输入与非门输入与非门74LS32为为2输入或门输入或门当地址信号为:当地址信号为:A9A8A7A6A5A4A3A2A1A01000011000即地址为即地址为218H或门或门74LS32输出输出0,使使I/O接口的接口的CS有效。有效。56当端口地址信号为:当端口地址信号为

34、:A9 A9 A8 A8 A7 A7 A6 A6 A5 A5 A4 A4 A3 A2 A1 A0 A3 A2 A1 A0 1 1 0 0 0 0 0 0 0 0 1 1 0 0 01 1 0 0 0即即 218H 218H时时, , Y0 Y0输出输出0 0,使使I/OI/O接口的接口的CSCS有效有效方法二、用译码器、门电路组合实现方法二、用译码器、门电路组合实现218H的地的地址译码址译码74LS3-8译码器译码器218HAY0BY1CY2G1Y3Y4G2AY5Y6G2BY70000110000100&A0A1A2AENA3A4A5A6A7A8A9IORIOWPC总线总线CSI/O接口接口

35、D0D7&RDWR数数据据线线DBD0D7端端口口译码电路译码电路57219H21AH21BH21CH21DH21EH21FH218H思思索索1:1.Y2Y7译译出出的的端端口口地地址址各各是是多多少?少?74LS3-8译码器译码器AY0BY1CY2G1Y3Y4G2AY5Y6G2BY70110000100&端端口口译码电路译码电路A0A1A2AENA3A4A5A6A7A8A9IORIOWPC总总线线101010101100110011110000Y7Y6Y5Y4Y3Y2Y1Y058思思索索2:将将A0与与A2位位置置互互换换,Y0Y7译译出出的的地地址址各各是多少?是多少?74LS3-8译码器

36、译码器AY0BY1CY2G1Y3Y4G2AY5Y6G2BY70110000100&端端口口译码电路译码电路A2A1A0AENA3A4A5A6A7A8A9IORIOWPC总总线线591根据电路先确定与使能控制衔接的信号:根据电路先确定与使能控制衔接的信号:G1=1即:即:AEN=0A9A8A7A6A5A4A3G2A=01000011G2B=0IOW或或IOR为为02再分析与选择控制再分析与选择控制C、B、A相连的各引脚相连的各引脚3最后综合一切地址信号的取值,得出结论最后综合一切地址信号的取值,得出结论分析方法:分析方法:外部连线可变,而外部连线可变,而74LS芯片的任务原理不变。芯片的任务原理

37、不变。6074LS3-8译码器译码器218HAY0BY1CY2G1Y3Y4G2AY5Y6G2BY70110000100&端端口口译码电路译码电路A2A1A0AENA3A4A5A6A7A8A9IORIOWPC总总线线101010101100110011110000Y7Y6Y5Y4Y3Y2Y1Y0218H21CH21AH21EH219H21DH21BH21FH61片内译码片内译码: : 在芯片内部的译码电路在芯片内部的译码电路 用于区分芯片内部不同的端口用于区分芯片内部不同的端口片选译码片选译码: : 在芯片外部的译码电路在芯片外部的译码电路 用于选择不同的芯片或端口用于选择不同的芯片或端口4、片

38、内译码和片选译码、片内译码和片选译码62片选译码通常译出的是一个地址范围片选译码通常译出的是一个地址范围并行接口并行接口8255ACS外外设设数数据据线线A0A1RDWRPC总线总线IORA0A1IOWA2A3A4A5A6A7A8A9AEN片片选选译译码码片内片内译码译码端口端口A端口端口B端口端口C端口端口D串行接口串行接口8251数数/模转换模转换0832模模/数转换数转换080921821FH220227H22822FH230237H63常将低位地址常将低位地址线线 1位或几位位或几位 直接直接连连在芯片上在芯片上 与芯片上的与芯片上的对应对应地址地址线线相相连连 ,用于片内,用于片内译

39、码译码,选择选择片内片内单单元。元。而其他的高位地址而其他的高位地址线线用于片用于片选译码选译码,选择选择器件。器件。并行接口并行接口8255ACS外外设设数数据据线线A0A1RDWRPC总总线线IORA0A1IOWA2A3A4A5A6A7A8A9AEN片片选选译译码码片内片内译码译码端口端口A端口端口B端口端口C端口端口D串行接口串行接口8251数数/模转换模转换0832模模/数转换数转换080964例例 分析以下图分析以下图74LS74LS各输出端的译码地各输出端的译码地址址 ( (片选译码例片选译码例) ) 旧旧P19 P19 实验附实验附3 374LS3-8译码器译码器218HAY0B

40、Y1CY2G1Y3Y4G2AY5Y6G2BY700010&A3A4A5+5VA6A7A8A9AENIORIOWPC总线总线&端口译码电路端口译码电路651.先确定与使能控制先确定与使能控制G1、G2A、G2B相连的各引脚相连的各引脚G1连连+5V,一直有效,一直有效IOR、IOW任一为任一为0G2A、G2B为为0,那么,那么A9A8A7A6,AEN为为010002.再分析与选择控制再分析与选择控制C、B、A相连的各引脚相连的各引脚3.思索到思索到A2、A1、A0未参与译码,其值恣意,未参与译码,其值恣意,即即A2A1A0的取值为的取值为0001114.最后综合一切地址信号的取值,得出结论。最后综合一切地址信号的取值,得出结论。A5A4A3CBA000Y0001Y1010Y2011Y3100Y4101Y5110Y6111Y7分析:分析:66结论:结论:67200207h20820Fh210217h21821Fh220227h22822Fh230237h23824Fh74LS3-8译码器译码器AY0BY1CY2G1Y3Y4G2AY5Y6G2BY700010&A3A4A5+5VA6A7A8A9AENIORIOWPC总线总线&端口译码电路端口译码电路

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 医学/心理学 > 基础医学

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号