模拟电子技术清华大学

上传人:cl****1 文档编号:584001974 上传时间:2024-08-30 格式:PPT 页数:432 大小:4.41MB
返回 下载 相关 举报
模拟电子技术清华大学_第1页
第1页 / 共432页
模拟电子技术清华大学_第2页
第2页 / 共432页
模拟电子技术清华大学_第3页
第3页 / 共432页
模拟电子技术清华大学_第4页
第4页 / 共432页
模拟电子技术清华大学_第5页
第5页 / 共432页
点击查看更多>>
资源描述

《模拟电子技术清华大学》由会员分享,可在线阅读,更多相关《模拟电子技术清华大学(432页珍藏版)》请在金锄头文库上搜索。

1、电电 子子 技技 术术前前进进绪绪 论论模模拟拟部部分分数数字字部部分分(点击进入有关部分)(点击进入有关部分)电电 子子 技技 术术退退出出绪绪论论返返回回电子技术发展简史电子技术发展简史电子技术的应用电子技术的应用电子技术课程安排电子技术课程安排前前进进退退出出I.电子技术发展史电子技术发展史 电子技术的出现和应用,使人类进入了高新技电子技术的出现和应用,使人类进入了高新技术时代。电子技术诞生的历史虽短,但深入的领域术时代。电子技术诞生的历史虽短,但深入的领域却是最深最广,它不仅是现代化社会的重要标志,却是最深最广,它不仅是现代化社会的重要标志,而且成为人类探索宇宙宏观世界和微观世界的物质

2、而且成为人类探索宇宙宏观世界和微观世界的物质技术基础。电子技术是在通信技术发展的基础上诞技术基础。电子技术是在通信技术发展的基础上诞生的。随着新型电子材料的发现,电子器件发生了生的。随着新型电子材料的发现,电子器件发生了深刻变革。自深刻变革。自19061906年第一支电子器件发明以来,世年第一支电子器件发明以来,世界电子技术经历了电子管、晶体管和集成电路等重界电子技术经历了电子管、晶体管和集成电路等重要发展阶段。要发展阶段。返返回回前前进进I.电子技术发展史电子技术发展史 电子技术的出现和应用,使人类进入了高新技电子技术的出现和应用,使人类进入了高新技术时代。电子技术诞生的历史虽短,但深入的领

3、域术时代。电子技术诞生的历史虽短,但深入的领域却是最深最广,它不仅是现代化社会的重要标志,却是最深最广,它不仅是现代化社会的重要标志,而且成为人类探索宇宙宏观世界和微观世界的物质而且成为人类探索宇宙宏观世界和微观世界的物质技术基础。技术基础。电子技术是在通信技术发展的基础上诞电子技术是在通信技术发展的基础上诞生的。生的。随着新型电子材料的发现,电子器件发生了随着新型电子材料的发现,电子器件发生了深刻变革。深刻变革。19061906年第一支电子器件发明以来,世界年第一支电子器件发明以来,世界电子技术经历了电子技术经历了电子管、晶体管和集成电路电子管、晶体管和集成电路等重要等重要发展阶段。发展阶段

4、。1.原始通信方式原始通信方式人力、烽火台等人力、烽火台等2.横木通信机横木通信机1791年(法)年(法)C.Chappe3.有线电报有线电报1837年(美)年(美)S.B.Morse4.有线电话有线电话1875年(苏)年(苏)A.G.Bell5.无线电收发报机无线电收发报机1895年年(意)意)G.Marconi通信业务蓬勃发展通信业务蓬勃发展电子器件产生之后。电子器件产生之后。一一. . 通信技术的发展通信技术的发展电子器件是电子器件是按照按照“电子管电子管晶体晶体管管集成电路集成电路”的顺序,逐步发展起的顺序,逐步发展起来的。来的。 二二. . 电子器件的产生电子器件的产生电电子子管管晶

5、晶体体管管集集成成电电路路1.真空电子管的发明:真空电子管的发明:真空二极管真空二极管1904年(美)年(美)Fleming真空三极管真空三极管1906年(美)年(美)LeedeForest2.晶体管的产生晶体管的产生晶体管晶体管Transistor1947(美)美)Shockley、Bardeen、Brattain集成电路集成电路IC(integratecircuit)1959(美)美)Kilby、Noyis二二. . 电子器件的产生电子器件的产生3.集成电路的出现集成电路的出现集成电路的出现,标志着人类进入了微电子时代。集成电路的出现,标志着人类进入了微电子时代。 自电子器件出现自电子器件

6、出现至今,电子技术已经至今,电子技术已经应用到了社会的各个应用到了社会的各个领域。领域。II.电子技术的应用电子技术的应用返返回回前前进进II.电子技术的应用电子技术的应用1875年年(苏)(苏)1906年年(美)(美)1925年年(美、英)(美、英)1946年年(美)(美)1923年年(瑞)(瑞)1902年年(美)(美)1901年年(美)(美)1934年年(俄)(俄)Internet互联网1990年年(美)(美)1992年年(中)(中)VCD1983年年(美)(美)1961年年(美)(美)III.课程安排课程安排一一.内容划内容划分分模拟部分模拟部分器件:器件:二极管二极管、三极管三极管、场

7、效应管场效应管放大器:放大器:基本放大器基本放大器、反馈放大器反馈放大器差动放大器差动放大器、功率放大器功率放大器集成电路:集成电路:集成运算放大器集成运算放大器电源:电源:交流电源(振荡器)、交流电源(振荡器)、直流电源(稳压电源直流电源(稳压电源)无线电:无线电:无线电知识无线电知识、收音机收音机数字部分数字部分逻辑代数逻辑代数无线电:无线电:无线电知识无线电知识、收音机收音机逻辑门电路:逻辑门电路:基本门基本门、复合门复合门组合逻辑电路组合逻辑电路:编码器编码器、译码器、选择器译码器、选择器比较器比较器、加法器加法器脉冲:脉冲:脉冲变换脉冲变换、脉冲产生脉冲产生返返回回前前进进二二.时间

8、安排时间安排学习时间学习时间1学年学年上半年:上半年:模拟部分模拟部分下半年:下半年:数字部分数字部分三三.学习注意事项学习注意事项课程特点课程特点电路图多、内容分散、误差较大电路图多、内容分散、误差较大计算简单、实用性强计算简单、实用性强学习方法学习方法掌握电路的构成原则、记住几个典型电路掌握电路的构成原则、记住几个典型电路及时总结及练习、掌握近似原则、与实验有机结合及时总结及练习、掌握近似原则、与实验有机结合第一编第一编 模拟部分模拟部分 返返回回第一章第一章 半导体器件半导体器件 第二章第二章 基本放大电路基本放大电路 第三章第三章 放大电路的频率特性放大电路的频率特性 第四章第四章 集

9、成运算放大器集成运算放大器 第五章第五章 负反馈放大器负反馈放大器 第六章第六章 信号运算电路信号运算电路 第七章第七章 波形发生电路波形发生电路 第八章第八章 功率放大电路功率放大电路 第九章第九章 直流电源直流电源 前前进进退退出出第一章第一章 半导体器件半导体器件 半导体材料、由半导体构成的半导体材料、由半导体构成的PNPN结、二极管结构特性、三极管结构特性及结、二极管结构特性、三极管结构特性及场效应管结构特性。场效应管结构特性。 本章主要内容:本章主要内容:返返回回前前进进1.1半导体(半导体(Semiconductor)导电特性导电特性 根据导电性质把物质分为根据导电性质把物质分为导

10、体、绝导体、绝缘体、半导体缘体、半导体三大类。三大类。 而半导体又分为而半导体又分为本征半导体、杂质本征半导体、杂质(掺杂)半导体(掺杂)半导体两种。两种。1.1.1本征半导体本征半导体 纯净的、不含杂质的半导体。常用的半导体材纯净的、不含杂质的半导体。常用的半导体材料有两种:硅(料有两种:硅(SiSi)、)、锗(锗(GeGe)。)。硅硅SiSi (锗(锗GeGe)的原子结构如下:的原子结构如下:这种结构的原子利用共价键构成了这种结构的原子利用共价键构成了本征半导体本征半导体结构。结构。但在外界激励下,产生但在外界激励下,产生电子电子空穴对(本空穴对(本征激发)征激发),呈现导体的性质。呈现导

11、体的性质。这种稳定的结构使得本征半导体常温下这种稳定的结构使得本征半导体常温下不能导电,呈现绝缘体性质。不能导电,呈现绝缘体性质。但在外界激励下,产生但在外界激励下,产生电子电子空穴对(本空穴对(本征激发)征激发),呈现导体的性质。呈现导体的性质。这种稳定的结构使得本征半导体常温下这种稳定的结构使得本征半导体常温下不能导电,呈现绝缘体性质。不能导电,呈现绝缘体性质。在外界激励下,产生在外界激励下,产生电子电子空穴对(本征激发)空穴对(本征激发)。空穴也可移动(邻近电子的依次填充)。空穴也可移动(邻近电子的依次填充)。在外界激励下,产生在外界激励下,产生电子电子空穴对(本征激发)空穴对(本征激发

12、)。空穴也可移动(邻近电子的依次填充)。空穴也可移动(邻近电子的依次填充)。在外界激励下,产生在外界激励下,产生电子电子空穴对(本征激发)空穴对(本征激发)。空穴也可移动(邻近电子的依次填充)。空穴也可移动(邻近电子的依次填充)。半导体内部存在两种半导体内部存在两种载流子载流子(可导(可导电的自由电荷):电子(负电荷)、空电的自由电荷):电子(负电荷)、空穴(正电荷)。穴(正电荷)。在本征半导体中,在本征半导体中,本征激发本征激发产生了产生了电子电子空穴对空穴对,同时存在电子,同时存在电子空穴对空穴对的的复合复合。电子浓度电子浓度=空穴浓度空穴浓度ni=pi1.1.2杂质半导体杂质半导体 在本

13、征半导体中掺入少量的其他特定元在本征半导体中掺入少量的其他特定元素(称为杂质)而形成的半导体。素(称为杂质)而形成的半导体。 根据掺入杂质的不同,杂质半导体又分根据掺入杂质的不同,杂质半导体又分为为N N型半导体型半导体和和P P型半导体型半导体。 常用的杂质材料有常用的杂质材料有5 5价元素磷价元素磷P P和和3 3价元素硼价元素硼B B。N N型半导体内部存在大量的电子和少量的空穴,电型半导体内部存在大量的电子和少量的空穴,电子属于多数载流子(简称多子),空穴属于少数载流子属于多数载流子(简称多子),空穴属于少数载流子(简称少子)。子(简称少子)。 n n p p N N型半导体主要靠电子

14、导电。型半导体主要靠电子导电。一一.N N型半导体(型半导体(电子型半导体)电子型半导体) 掺如非金属杂质磷掺如非金属杂质磷P的半导体。的半导体。每掺入一个磷每掺入一个磷原子就相当于向半导体内原子就相当于向半导体内部注入一个自由电子。部注入一个自由电子。P P型半导体内部存在大量的空穴和少量的电子,空型半导体内部存在大量的空穴和少量的电子,空穴属于多数载流子(简称多子),电子属于少数载流穴属于多数载流子(简称多子),电子属于少数载流子(简称少子)。子(简称少子)。 p np n P P型半导体主要靠空穴导电。型半导体主要靠空穴导电。二二.P P型半导体(空穴型型半导体(空穴型半导体)半导体)

15、掺如非金属杂质硼掺如非金属杂质硼B的半导体。的半导体。每掺入一个硼每掺入一个硼原子就相当于向半导体内原子就相当于向半导体内部注入一个空穴。部注入一个空穴。 杂质半导体导电性能主要由多数载流子决定,杂质半导体导电性能主要由多数载流子决定,总体是电中性的,通常只画出其中的杂质离子和等总体是电中性的,通常只画出其中的杂质离子和等量的多数载流子。量的多数载流子。杂质半导体的简化表示法杂质半导体的简化表示法 1.2半导体二极管(半导体二极管(Diode)二极管的主要结构是二极管的主要结构是PNPN结。结。 1.2.1PN结(结(PNJunction)将一块将一块P型半导体和一块型半导体和一块N型半导体有

16、机结合在型半导体有机结合在一起,其结合部就叫一起,其结合部就叫PN结(该区域具有特殊性质)。结(该区域具有特殊性质)。一一.PN结的形成结的形成 多子扩散(在多子扩散(在PNPN结合结合部形成内电场部形成内电场E EI I)。)。内电场阻碍多子扩内电场阻碍多子扩散、利于少子漂移。散、利于少子漂移。 当扩散与漂移相对当扩散与漂移相对平衡,形成平衡,形成PNPN结。结。 PN结别名:耗尽层、结别名:耗尽层、势垒区、电位壁垒、势垒区、电位壁垒、阻挡层、内电场、空阻挡层、内电场、空间电荷区等。间电荷区等。二二.PN结性质结性质单向导电性单向导电性1.正向导通正向导通PNPN结结外外加加正正向向电电压压

17、(正正向向偏偏置置)PP接接 + +、N N接接 - - ,形形成成较较大正向电流(正向电阻较小)。如大正向电流(正向电阻较小)。如3mA3mA。2.反向截止反向截止PNPN结结外外加加反反向向电电压压(反反向向偏偏置置)PP接接 - -、N N接接 + +,形形成成较较小反向电流(反向电阻较大)。如小反向电流(反向电阻较大)。如1010A A。二二.PN结性质结性质单向导电性单向导电性正偏电压正偏电压U=0.7V(Si管)管)0.2V(Ge管管 当当电电压压超超过过某某个个值值(约约零零点点几几伏伏),全全部部少少子子参参与与导导电电,形形成成“反向饱和电流反向饱和电流I IS S”。反偏电

18、压最高可达几千伏。反偏电压最高可达几千伏。 1.2.2二极管二极管用外壳将用外壳将PNPN结封闭,引出结封闭,引出2 2根极线,就构成了二极管根极线,就构成了二极管 。 一二极管伏安特性一二极管伏安特性正正向向电电流流较较大大(正正向向电电阻阻较较小小),反反向向电电流流较较小小(反反向向电阻较大)。电阻较大)。门门限限电电压压(死死区区电电压压)V(Si管管约约为为0.5V、Ge管管约约为为0.1V),反反向向击击穿穿电电压压VBR(可可高达几千伏)高达几千伏)二极管电压电流方程:二极管电压电流方程:二二极管主要参数二二极管主要参数1.最大整流电流最大整流电流IF2.最高反向工作电压最高反向

19、工作电压UR3.反向电流反向电流IR4.最高工作频率最高工作频率fM 由由三三块块半半导导体体构构成成,分分为为NPNNPN型型和和PNPPNP型型两两种种。三三极极管管含含有有3 3极极、2 2结结、3 3区区。其其中中发发射射区区高高掺掺杂杂,基基区区较较薄薄且且低低掺掺杂杂,集集电电区区一一般般掺杂。掺杂。 1.3三极管(三极管(Transistor) 1.3.1三极管结构及符号三极管结构及符号1.3三极管(三极管(Transistor) 1.3.2三极管的三种接法(三种组态)三极管的三种接法(三种组态) 三极管在放大电路中有三种接法:共发射极、三极管在放大电路中有三种接法:共发射极、共

20、基极、共集电极。共基极、共集电极。 1.3三极管(三极管(Transistor) 1.3.3三极管内部载流子传输三极管内部载流子传输 下面以共发射极下面以共发射极NPNNPN管为例分析三极管内部载管为例分析三极管内部载流子的运动规律,从而得到三极管的放大作用。流子的运动规律,从而得到三极管的放大作用。 为保证三极管具有放大作用(直流能量转换为为保证三极管具有放大作用(直流能量转换为交流能量),三极管电路中必须要有直流电源,并交流能量),三极管电路中必须要有直流电源,并且直流电源的接法必须保证且直流电源的接法必须保证三极管的发射结正偏、三极管的发射结正偏、集电结反偏集电结反偏 。 1.3.3三极

21、管内部载流子传输三极管内部载流子传输一一. .发射区向基区发射区向基区 发射载流子发射载流子( (电子电子) ) IENIBN 1.3.3三极管内部载流子传输三极管内部载流子传输一一. .发射区向基区发射区向基区 发射载流子发射载流子( (电子电子) ) 二二. .电子在基区的电子在基区的 疏运输运和复合疏运输运和复合 IBIEICBOICICNIBN 1.3.3三极管内部载流子传输三极管内部载流子传输一一. .发射区向基区发射区向基区 发射载流子发射载流子( (电子电子) ) 二二. .电子在基区的电子在基区的 疏运输运和复合疏运输运和复合 三三. .集电区收集电子集电区收集电子 1.3.4

22、三极管各极电流关系三极管各极电流关系一一.各极电流关系各极电流关系IE=IEN+IBNIENIB=IBNICBOIC=ICN+ICBOIE=IC+IB二二.电流控制作用电流控制作用=ICN/IBNIC/IBIC=IB+(1+)ICBO=IB+ICEOIC=ICN/IENIC/IEIC=IE+ICBOIE 1.3.5共射共射NPN三极管伏安特性曲线三极管伏安特性曲线一一.输入特性曲线输入特性曲线IB=f(UBE,UCE)实际测试时如下进行:实际测试时如下进行:IB=f(UBE)|UCEU UCE CE 5V5V的特性曲线基本重合为一条,手册可给出该条曲线。的特性曲线基本重合为一条,手册可给出该条

23、曲线。 1.3.5共射共射NPN三极管伏安特性曲线三极管伏安特性曲线二二.输出特性曲线输出特性曲线IC=f(IB,UCE)实际测试时如此进行:实际测试时如此进行:IC=f(UCE)|IB 1.3.5共射共射NPN三极管伏安特性曲线三极管伏安特性曲线二二.输出特性曲线输出特性曲线IC=f(IB,UCE)实际测试时如下进行:实际测试时如下进行:IC=f(UCE)|IB 发射结正偏、集电结反发射结正偏、集电结反偏时,三极管工作在放大偏时,三极管工作在放大区区( (处于放大状态处于放大状态) ),有放,有放大作用:大作用:I IC C =I =IB B + + I ICEOCEO 两结均反偏时,三极管

24、两结均反偏时,三极管工作在截至区工作在截至区( (处于截止处于截止状态状态) ) ,无放大作用。,无放大作用。I IE E=I=IC C=I=ICEOCEO00 发射结正偏、集电结正发射结正偏、集电结正偏时,三极管工作在饱和偏时,三极管工作在饱和区区( (处于饱和状态处于饱和状态) ) ,无,无放大作用。放大作用。I IE E=I=IC C(较大)较大) 1 .3 .6 1 .3 .6 三极管主要参数三极管主要参数 一一. . 电流放大系数电流放大系数 1. 1. 共发射极电流放大系数共发射极电流放大系数直流直流IIC C/I/IB B 交流交流IIC C/I/IB B 均用均用表示。表示。

25、2. 2. 共基极电流放大系数共基极电流放大系数直流直流IIC C/I/IE E 交流交流IIC C/I/IE E 均用均用表示。表示。二二. . 反向饱和电流反向饱和电流 1.1.集电极集电极基极间反向饱和电流基极间反向饱和电流I ICBOCBO 2.2.集电极集电极发射极间穿透电流发射极间穿透电流I ICEOCEO I ICEO CEO = = (1+)(1+) I ICBOCBO =/(1 =/(1) =/(1+) =/(1+) 1 .3 .6 1 .3 .6 三极管主要参数三极管主要参数 一一. . 电流放大系数电流放大系数 IIC C/I/IB B I IC C/I/IE E =/(

26、1=/(1) =/(1+) =/(1+) 二二. . 反向饱和电流反向饱和电流 I ICBO CBO I ICEOCEO I ICEO CEO = = (1+)(1+) I ICBOCBO三三. . 极限参数极限参数 1. 1. 集电极最大允许电流集电极最大允许电流I ICM CM 2. 2. 集电极最大允许功耗集电极最大允许功耗P PCMCM 3. 3. 反向击穿电压反向击穿电压U U(BR)CEO(BR)CEO 、U U(BR)CBO(BR)CBO 三极管的安全工作区三极管的安全工作区 1.4场效应管(场效应管(FieldEffectTransistor) 场效应管是单极性管子,其输入场效

27、应管是单极性管子,其输入PNPN结处于反偏或结处于反偏或绝缘状态,具有很高的输入电阻(这一点与三极管相绝缘状态,具有很高的输入电阻(这一点与三极管相反),同时,还具有噪声低、热稳定性好、抗辐射性反),同时,还具有噪声低、热稳定性好、抗辐射性强、便于集成等优点。强、便于集成等优点。 场效应管是电压控制器件,既利用栅源电压控制场效应管是电压控制器件,既利用栅源电压控制漏极电流漏极电流(i iD D = = g gm mu uGSGS)这一点与三级管(电流控这一点与三级管(电流控制器件制器件, , 基极电流控制集电极电流基极电流控制集电极电流, ,i iC C = = i iB B)不同,不同,而栅

28、极电流而栅极电流i iD D为为0 0(因为输入电阻很大)。(因为输入电阻很大)。 场效应管分为两大类场效应管分为两大类: :结型场效应管结型场效应管(JFETJFETJunction Field Effect TransistorJunction Field Effect Transistor)、绝缘栅型场效应管绝缘栅型场效应管(IGFETInsulated Gate Field Effect TransistorIGFETInsulated Gate Field Effect Transistor)。 1.4.1结型场效应管结型场效应管一一. . 结构及符号结构及符号 N N沟道管靠(单一

29、载流子)电子导电,沟道管靠(单一载流子)电子导电,P P沟道管靠沟道管靠(单一载流子)空穴导电。场效应管的栅极(单一载流子)空穴导电。场效应管的栅极G G、源极源极S S和漏极和漏极D D与三级管的基极与三级管的基极b b、发射极发射极e e和集电极和集电极c c相对应。相对应。 1.4.1结型场效应管结型场效应管二二. .工作原理工作原理(栅源电压(栅源电压U UGSGS对漏极电流对漏极电流I ID D的控制作用)的控制作用) 以以N N沟道管为例。漏源之间的沟道管为例。漏源之间的PNPN结必须反偏。结必须反偏。 N N沟道结型场效应管加上反沟道结型场效应管加上反偏的栅源电压偏的栅源电压U

30、UGSGS (U (UGSGS0) 0) ,在漏源之间加上漏源电在漏源之间加上漏源电压压U UDSDS(U(UDSDS0)0),便形成漏极便形成漏极电流电流I ID D。而且而且U UGSGS可控制可控制I ID D。 1.4.1结型场效应管结型场效应管二二. .工作原理工作原理(栅源电压(栅源电压U UGSGS对漏极电流对漏极电流I ID D的控制作用)的控制作用) 1.1.当当V VGSGS=0=0时,沟道最宽,沟道电阻最小,加上时,沟道最宽,沟道电阻最小,加上V VDSDS可形成最大的可形成最大的I ID D; 2.2.当当V VGSGS0 0时,沟道逐渐变窄,沟道电阻逐渐变大,时,沟道

31、逐渐变窄,沟道电阻逐渐变大,I ID D逐渐减小;逐渐减小; 3.3.当当V VGSGS=V=VP P ( (夹断电压夹断电压) )时,沟道夹断,沟道电阻为无限大,时,沟道夹断,沟道电阻为无限大,I ID D=0=0。所以,栅源电压所以,栅源电压V VGSGS对漏极电流对漏极电流I ID D有控制作用。有控制作用。 1.4.1结型场效应管结型场效应管三三. JFET. JFET特性曲线特性曲线 V VGSGS=0=0时时, ,随着随着V VDSDS的增大的增大, ,沟道变化情况如下沟道变化情况如下: :加上加上V VGSGS,沟道会进一步变窄。沟道会进一步变窄。 1.4.2结型场效应管结型场效

32、应管三三. JFET. JFET特性曲线特性曲线 1.1.转移特性曲线转移特性曲线 I ID D =f(=f( U UGSGS )|)|U UDSDS 1.4.1结型场效应管结型场效应管三三. JFET. JFET特性曲线特性曲线 2.2.漏极特性曲线漏极特性曲线 变化变化V VGSGS, ,得到一族特得到一族特性曲线。分为可变电性曲线。分为可变电阻区、恒流区、击穿阻区、恒流区、击穿区三部分。区三部分。 JFETJFET管处管处于恒流状态时,有于恒流状态时,有 I ID D= =g gm mV VGSGS I ID D =f(=f( U UDSDS )|)|U UGSGS 1.4.1结型场效应

33、管结型场效应管四四. JFET. JFET管工作过程小结管工作过程小结 N N沟道沟道JFET JFET 栅源电压栅源电压V VGSGS为为负值负值,漏源电压,漏源电压V VDSDS为为正值正值(P P沟道沟道JFETJFET与之相反)。在栅源电压与之相反)。在栅源电压V VGSGS控制下,控制下,漏极电流漏极电流I ID D随栅源电压而发生变化。并且,随栅源电压而发生变化。并且,V VGSGS=0=0时,时,I ID D最大;最大;V VGSGS= = V VP P 时,时,I ID D=0=0。二者之间关系为:二者之间关系为: I ID D= =g gm mV VGSGS (栅源间必须反偏

34、)栅源间必须反偏) 1.4.2结型场效应管结型场效应管三三. JFET. JFET特性曲线特性曲线 3.3.转移转移输出特性关系输出特性关系 由输出特性曲线可得到转移特性曲线由输出特性曲线可得到转移特性曲线 1.4.1结型场效应管结型场效应管四四. JFET. JFET管工作过程小结管工作过程小结 N N沟道沟道JFET JFET 栅源电压栅源电压V VGSGS为为负值负值,漏源电压,漏源电压V VDSDS为为正值正值(P P沟道沟道JFETJFET与之相反)。在栅源电压与之相反)。在栅源电压V VGSGS控制下,控制下,漏极电流漏极电流I ID D随栅源电压而发生变化。并且,随栅源电压而发生

35、变化。并且,V VGSGS=0=0时,时,I ID D最大;最大;V VGSGS= = V VP P 时,时,I ID D=0=0。二者之间关系为:二者之间关系为: I ID D= =g gm mV VGSGS (栅源间必须反偏)栅源间必须反偏) 1.4.1绝缘栅型场效应管绝缘栅型场效应管 这种场效应管的栅极处于绝缘状态,输入电阻这种场效应管的栅极处于绝缘状态,输入电阻更高。广泛运用的是金属更高。广泛运用的是金属氧化物氧化物半导体场效应半导体场效应管管MOSFETMOSFET(MetalOxideMetalOxideSemicondoctorSemicondoctor type type Fi

36、eld Effect TransistorField Effect Transistor),),简计为简计为MOSMOS管。管。分为分为增强型增强型MOSMOS管和耗尽型管和耗尽型MOSMOS管两类管两类 ,每类又有,每类又有N N沟道沟道和和P P沟道两种管子。沟道两种管子。 1.4.1绝缘栅型场效应管绝缘栅型场效应管一一. . 结构及符号结构及符号 二二. . 增强型增强型N N沟道沟道MOSMOS管工作过程管工作过程 1.UGS=0,无导电无导电沟道,不能导电沟道,不能导电2.UGS逐渐增大逐渐增大,形成耗尽层形成耗尽层3.UGSUT,形成形成反型层(反型层(N沟道)沟道)4.加上加上U

37、DS,导导电沟道不均匀电沟道不均匀5.UGS-UDS=UT,沟道预夹断沟道预夹断6.UDS继续增大继续增大,沟道沟道夹断夹断,使使ID基本不变基本不变三三. .增强型增强型N N沟道沟道MOSMOS管特性曲线管特性曲线 转移特性近似表示为转移特性近似表示为I ID D =I=IDODO(U(UGSGS/U/UT T 1)1)2 2(其中(其中I IDODO 为为U UGSGS = = 2U2UT T 时的时的I ID D 值)值)四四. . 耗尽型耗尽型N N沟道沟道MOSMOS管工作过程管工作过程 不加栅源电压时,在不加栅源电压时,在MOSMOS管体内已存在导电沟道。而所管体内已存在导电沟道

38、。而所加栅源电压可以控制导电沟道加栅源电压可以控制导电沟道的宽窄,从而控制漏极电流。的宽窄,从而控制漏极电流。且当且当U UGSGS0 0时,导电沟道更宽,时,导电沟道更宽,电流电流U UD D变大;变大;U UGSGS0 0时,导电时,导电沟道保持原有宽度,电流沟道保持原有宽度,电流I ID D适适中;当中;当V VGSGS0 0时,导电沟道变时,导电沟道变窄。电流窄。电流I ID D变小。当变小。当U UGSGS小到夹小到夹断电压断电压U UP P 时,沟道全部夹断,时,沟道全部夹断,使得使得I ID D=0=0。 四四. .耗尽型耗尽型N N沟道沟道MOSMOS管特性曲线管特性曲线 各类

39、场效应管偏置电压极性各类场效应管偏置电压极性场效应管类型场效应管类型栅源电压栅源电压UGS漏源电压漏源电压UDSN沟道沟道JFET-+P沟道沟道JFET+-N沟道增强型沟道增强型MOS管管+P沟道增强型沟道增强型MOS管管-N沟道耗尽型沟道耗尽型MOS管管+0-+N沟道耗尽型沟道耗尽型MOS管管+0- 五五. .场效应管的主要参数场效应管的主要参数1.1.直流参数直流参数 (1 1)饱和漏极电流)饱和漏极电流I IDSSDSS (2 2)夹断电压)夹断电压U UP P(3 3)开启电压)开启电压U UT T2.2.交流参数交流参数 (1 1)低频跨导)低频跨导g gm m 其中其中 g gm

40、m=(=(I ID D/ / I ID D )|U)|UDS DS (2 2)极间电容)极间电容 C CGSGS C CGD GD C CDS DS 3.3.极限参数极限参数 (1 1)漏源击穿电压漏源击穿电压V V(BRBR)DSDS(2 2)栅源击穿电压栅源击穿电压V V(BRBR)GSGS(3 3)最大漏极电流最大漏极电流I IDMDM(4 4)最大漏极耗散功率最大漏极耗散功率P PDMDM第二章第二章 基本放大电路基本放大电路 放放大大器器构构成成及及主主要要技技术术指指标标、放放大大器器分分析析方方法法、三三种种组组态态放放大大器器、场场效效应应管管放放大器、多级放大器大器、多级放大

41、器 。 本章主要内容:本章主要内容:前前进进返返回回2 2.1 1 放大的概念放大的概念 1 1信号:信号:电流或电压。电流或电压。 信号放大时,放大的是信号的幅度,信号的频率信号放大时,放大的是信号的幅度,信号的频率不变。信号放大主要是利用三极管基极电流对集电极不变。信号放大主要是利用三极管基极电流对集电极电流的控制作用(电流的控制作用(I IC C= =IIb b)或场效应管栅极电压对漏或场效应管栅极电压对漏极电流的控制作用(极电流的控制作用(I Id d= =g gm mU Ugsgs)。)。 放大器放大器小信号小信号大信号大信号2放大的概念放大的概念2.2.1原理电路原理电路 主要元件

42、主要元件处于放大状态的三极管。处于放大状态的三极管。2.2 2.2 单管共发射极放大电路单管共发射极放大电路 为保证三极管的偏置,要加上直流电源。为保证三极管的偏置,要加上直流电源。 为限流,应加上降压电阻。为限流,应加上降压电阻。 为放大信号,加上信号源及输出端。为放大信号,加上信号源及输出端。2.2.1原理电路原理电路 主要元件主要元件处于放大状态的三极管。处于放大状态的三极管。2.2 2.2 单管共发射极放大电路单管共发射极放大电路 为保证三极管的偏置,要加上直流电源。为保证三极管的偏置,要加上直流电源。 为限流,应加上降压电阻。为限流,应加上降压电阻。 为放大信号,加上信号源及输出端。

43、为放大信号,加上信号源及输出端。2.2.2电路放大工作原理电路放大工作原理2.2 2.2 单管共发射极放大电路单管共发射极放大电路考虑到考虑到u uCECE = V = VCC CC - - i iC CR RC C ,而而V VCCCC是固定不便的,则是固定不便的,则变化量变化量uuCECE = = - -i iC CR RC C 。u ui iu uBEBEi iB Bu uO Oi iC C = =i iB B u uCECE2.2.3实际放大器实际放大器2.2 2.2 单管共发射极放大电路单管共发射极放大电路首先改成单电源供电,首先改成单电源供电, 再加上隔直电容,再加上隔直电容, 共

44、射放大器共射放大器 共射放大器共射放大器 习惯画成:习惯画成: 2.2.4放大器构成原则放大器构成原则2.2 2.2 单管共发射极放大电路单管共发射极放大电路1. 1. 保证三极管发射结正偏、保证三极管发射结正偏、 集电结反偏(如右图所示);集电结反偏(如右图所示); 2. 2. 欲放大信号能进入三极管中;欲放大信号能进入三极管中; 3. 3. 所放大信号能传输到负载上。所放大信号能传输到负载上。 电路举例电路举例2.2 2.2 单管共发射极放大电路单管共发射极放大电路 对于放大器,除分析静态量(直流量),还要分析如下对于放大器,除分析静态量(直流量),还要分析如下动态量(交流量):动态量(交

45、流量):2.3 2.3 放大电路主要技术指标放大电路主要技术指标 1.1.放大倍数(增益)放大倍数(增益)A Au u、A Ai i3.3.非线性失真系数非线性失真系数D D 2.2.最大输出信号幅度最大输出信号幅度U Uomom、I Iomom4.4.输入电阻输入电阻R Ri i 5.5.输出电阻输出电阻R Ro o 6.6.通频带通频带BW BW 7.7.最大最大输出功率出功率P Pomom及及转换效率效率 对于放大器,除分析静态量(直流量),还要分析如下对于放大器,除分析静态量(直流量),还要分析如下动态量(交流量):动态量(交流量):2.3 2.3 放大电路主要技术指标放大电路主要技术

46、指标1.1.放大倍数(增益)放大倍数(增益)A Au u、A Ai iA Au u= =U Uo o / / U Ui iA Ai i=I=Io o / / I Ii iA Ausus= =U Uo o / / U Us s 对于放大器,除分析静态量(直流量),还要分析如下对于放大器,除分析静态量(直流量),还要分析如下动态量(交流量):动态量(交流量):2.3 2.3 放大电路主要技术指标放大电路主要技术指标最大不失真输最大不失真输出信号幅值。出信号幅值。2.2.最大输出信号幅度最大输出信号幅度U Uomom、I Iomom 对于放大器,除分析静态量(直流量),还要分析如下对于放大器,除分析

47、静态量(直流量),还要分析如下动态量(交流量):动态量(交流量):2.3 2.3 放大电路主要技术指标放大电路主要技术指标3.3.非线性失真系数非线性失真系数D D 输出信号输出信号 u uo o = u = u1 1 + u + u2 2 + u + u3 3 + + 其中,其中, u u1 1是基波,是基波, u u2 2 、 u u3 3 、是是谐波波 对于放大器,除分析静态量(直流量),还要分析如下对于放大器,除分析静态量(直流量),还要分析如下动态量(交流量):动态量(交流量):2.3 2.3 放大电路主要技术指标放大电路主要技术指标4.4.输入入电阻阻R Ri iR Ri i= =

48、U Ui i / / I Ii i 对于放大器,除分析静态量(直流量),还要分析如下对于放大器,除分析静态量(直流量),还要分析如下动态量(交流量):动态量(交流量):2.3 2.3 放大电路主要技术指标放大电路主要技术指标5.5.输入入电阻阻R Ro o实际测量时实际测量时Ro =Ro =(UUo o/ / U Uo o - - 1 1)R RL L R Ro o= =U Uo o / / I Io oUs=0Us=0R RL L= = 对于放大器,除分析静态量(直流量),还要分析如下对于放大器,除分析静态量(直流量),还要分析如下动态量(交流量):动态量(交流量):2.3 2.3 放大电路

49、主要技术指标放大电路主要技术指标6.6.通通频带BWBW BW = BW = f fH H - - f fL L 对于放大器,除分析静态量(直流量),还要分析如下对于放大器,除分析静态量(直流量),还要分析如下动态量(交流量):动态量(交流量):2.3 2.3 放大电路主要技术指标放大电路主要技术指标= = P Pomom/P/PV V 7.7.最大最大输出功率出功率P Pomom及及转换效率效率 附:电路中有关符号规定附:电路中有关符号规定直直流流量:量: 大写字母、大写脚码大写字母、大写脚码如如IB、UCE交流瞬时量:交流瞬时量: 小写字母、小写脚码小写字母、小写脚码如如ib、uce交流有

50、效量:交流有效量: 大写字母、小写脚码大写字母、小写脚码如如Ib、Ucce交直流总量:交直流总量: 小写字母、大写脚码小写字母、大写脚码如如iB、uCE 放大器分析有静态分析和动态分析。其中动态分析最常放大器分析有静态分析和动态分析。其中动态分析最常用的方法有图解法(大信号)和等效电路法(小信号)。用的方法有图解法(大信号)和等效电路法(小信号)。2.4 2.4 放大电路基本分析方法放大电路基本分析方法 一一. .直流等效直流等效电路(直流通路路(直流通路) 2.4.1放大器直流通路与交流通路放大器直流通路与交流通路直流信号所通过的线路,用于分析直流信号所通过的线路,用于分析直直流量。流量。

51、直流通路作法:断开隔直直流通路作法:断开隔直电容。容。 一一. .直流等效直流等效电路(直流通路路(直流通路) 2.4.1放大器直流通路与交流通路放大器直流通路与交流通路交流信号所通过的线路,用于分析交流量。交流信号所通过的线路,用于分析交流量。 交流交流通路作法:通路作法:短路短路隔直隔直电容容和直流电源和直流电源。 2.4 2.4 放大电路基本分析方法放大电路基本分析方法二二. .交交流等效流等效电路(路(交交流通路流通路) 在放大电路或其直流通路中,计算在放大电路或其直流通路中,计算I IB B,U UBEBE,I IC C,U UCE CE 。其中,其中, U UBEBE = = 0.

52、7V0.7V(SiSi管)或管)或0.2V0.2V(GeGe管)管)当作已知量。当作已知量。 2.4.2静态工作点的估算静态工作点的估算2.4 2.4 放大电路基本分析方法放大电路基本分析方法I IB B = =(VccVcc - U - UBEBE )/ / R RB B VccVcc / / R RB B I IC C =I =IB B U UCE CE = = VccVcc -I -IC CR RC C 2.4.3图解法图解法2.4 2.4 放大电路基本分析方法放大电路基本分析方法 先利用估算法先利用估算法计算出算出I IB B,在输入特性曲线上作在输入特性曲线上作静静态工作工作点点Q

53、Q,再在再在输出特性曲出特性曲线上作出直流上作出直流负载线u uCECE=V=VCCCC- -i iC CR RC C,其其与与I IB B的交点及静的交点及静态工作点工作点Q Q,直流直流负载线的斜率的斜率为-1/R-1/RC C 。一一静态分析静态分析 2.4.3图解法图解法2.4 2.4 放大电路基本分析方法放大电路基本分析方法 先利用估算法先利用估算法计算出算出I IB B,在输入特性曲线上作在输入特性曲线上作静静态工作工作点点Q Q,再在再在输出特性曲出特性曲线上作出直流上作出直流负载线u uCECE=V=VCCCC- -i iC CR RC C,其其与与I IB B的交点及静的交点

54、及静态工作点工作点Q Q,直流直流负载线的斜率的斜率为-1/R-1/RC C 。一一静态分析静态分析 作出交流作出交流负载线(斜率斜率为交流交流负载-1/R-1/RL L、过静静态工作点工作点Q Q),),2.4.3图解法图解法2.4 2.4 放大电路基本分析方法放大电路基本分析方法二二动态分析动态分析 作出交流作出交流负载线(斜率斜率为交流交流负载-1/R-1/RL L、过静静态工作点工作点Q Q),),2.4.3图解法图解法2.4 2.4 放大电路基本分析方法放大电路基本分析方法二二动态分析动态分析 然后根据已知的然后根据已知的输入信号入信号 如如u ui i=0.05sin=0.05si

55、nt(V)t(V),分分别在在输入特性、入特性、输出特性上的静出特性上的静态工作点附近工作点附近得出动态范围,得出动态范围,作出交流作出交流负载线(斜率斜率为交流交流负载-1/R-1/RL L、过静静态工作点工作点Q Q),),然后根据已知的然后根据已知的输入信号入信号 如如u ui i=0.05sin=0.05sint(V)t(V),分分别在在输入特性、入特性、输出特性上的静出特性上的静态工作点附近工作点附近得出动态范围,得出动态范围,根据动态范围作出输入输出波形,求出根据动态范围作出输入输出波形,求出A Au u、A Ai i。 2.4.3图解法图解法2.4 2.4 放大电路基本分析方法放

56、大电路基本分析方法二二动态分析动态分析 进而进而作出交流作出交流负载线(斜率斜率为交流交流负载-1/R-1/RL L、过静静态工作点工作点Q Q),),然后根据已知的然后根据已知的输入信号入信号 如如u ui i=0.05sin=0.05sint(V)t(V),分分别在在输入特性、入特性、输出特性上的静出特性上的静态工作点附近工作点附近得出动态范围,进而得出动态范围,进而根据动态范围作出输入输出波形,求出根据动态范围作出输入输出波形,求出A Au u、A Ai i。 2.4.3图解法图解法2.4 2.4 放大电路基本分析方法放大电路基本分析方法二二动态分析动态分析 1.1.估算估算I IB B

57、,并在输入特性曲线上标出并在输入特性曲线上标出Q Q点;点;2.4.3图解法图解法2.4 2.4 放大电路基本分析方法放大电路基本分析方法图解法步骤小结:图解法步骤小结: 2.2.在输出特性曲线上作直流负载线,并标出在输出特性曲线上作直流负载线,并标出Q Q点;点;3.3.在输出特性曲线上作交流负载线(斜率为在输出特性曲线上作交流负载线(斜率为-1/R-1/RL L,过过Q Q点);点);4.4.根据已知条件在输入特性曲线上以静态工作点为中心确定输根据已知条件在输入特性曲线上以静态工作点为中心确定输入电流动态范围(输入信号摆动范围),作输入信号波形;根入电流动态范围(输入信号摆动范围),作输入

58、信号波形;根据输入电流摆动范围,找出交流负载线与输出特性曲线的两个据输入电流摆动范围,找出交流负载线与输出特性曲线的两个交点,此为输出动态范围(输出信号摆动范围),作输出信号交点,此为输出动态范围(输出信号摆动范围),作输出信号波形。波形。5.5.计算计算A Au u、A Ai i。2.4.3图解法图解法2.4 2.4 放大电路基本分析方法放大电路基本分析方法三三图解法应用图解法应用 1.1.分析非线性失真分析非线性失真 设设i ii i=20sin=20sint(uA) t(uA) (1 1)静态工作点过低,)静态工作点过低,出现截止失真。出现截止失真。解决办法:提高解决办法:提高Q Q点,

59、可减小点,可减小R RB B。2.4.3图解法图解法2.4 2.4 放大电路基本分析方法放大电路基本分析方法三三图解法应用图解法应用 1.1.分析非线性失真分析非线性失真 设设i ii i=20sin=20sint(uA) t(uA) (2 2)静态工作点过高,)静态工作点过高,出现饱和失真。出现饱和失真。解决办法:降低解决办法:降低Q Q点,可增大点,可增大R RB B。2.4.3图解法图解法2.4 2.4 放大电路基本分析方法放大电路基本分析方法三三图解法应用图解法应用 1.1.分析非线性失真分析非线性失真 设设i ii i=65sin=65sint(uA) t(uA) (3 3)输入信号

60、过大)输入信号过大,出现饱和出现饱和截止失真。截止失真。解决办法:减小输入信号解决办法:减小输入信号。2.4.3图解法图解法2.4 2.4 放大电路基本分析方法放大电路基本分析方法三三图解法应用图解法应用 2.2.分析放大分析放大 最大不失真最大不失真 输出信号出信号 (1 1)静态工)静态工 作点较高。作点较高。2.4.3图解法图解法2.4 2.4 放大电路基本分析方法放大电路基本分析方法三三图解法应用图解法应用 2.2.分析放大分析放大 最大不失真最大不失真 输出信号出信号 (2 2)静态工)静态工 作点较低。作点较低。2.4.3图解法图解法2.4 2.4 放大电路基本分析方法放大电路基本

61、分析方法三三图解法应用图解法应用 2.2.分析放大器最大不失真分析放大器最大不失真输出信号出信号 静态工作点在位于放大区中央最佳。静态工作点在位于放大区中央最佳。2.4.3图解法图解法2.4 2.4 放大电路基本分析方法放大电路基本分析方法三三图解法应用图解法应用 3.3.分析分析电路参数对静态工作点的影响电路参数对静态工作点的影响 (1 1)R RB B的影响的影响 直流负载线不变。直流负载线不变。R RB B变大时变大时I IB B减小,减小,Q Q点点下移,易出现截止失下移,易出现截止失真;真;R RB B变小时变小时I IB B减小,减小,Q Q点上移,易出现饱和点上移,易出现饱和失真

62、。失真。 2.4.3图解法图解法2.4 2.4 放大电路基本分析方法放大电路基本分析方法三三图解法应用图解法应用 3.3.分析分析电路参数对静态工作点的影响电路参数对静态工作点的影响 (2 2)R RC C的影响的影响 直流负载线与横直流负载线与横轴交点不变,但与纵轴交点不变,但与纵轴交点变化。轴交点变化。R RC C变大变大交点下移,易出现饱交点下移,易出现饱和失真;和失真;R RC C变小时交变小时交点上移,易超出安全点上移,易超出安全区。区。 2.4.3图解法图解法2.4 2.4 放大电路基本分析方法放大电路基本分析方法三三图解法应用图解法应用 3.3.分析分析电路参数对静态工作点的影响

63、电路参数对静态工作点的影响 (3 3)V VCCCC的影响的影响 直流负载线平行直流负载线平行移动。移动。V VCCCC变大时直流变大时直流负载线右移,容易超负载线右移,容易超出安全区;出安全区;V VCCCC变小时变小时直流负载线左移,容直流负载线左移,容易出现饱和失真。易出现饱和失真。 2.4.3图解法图解法2.4 2.4 放大电路基本分析方法放大电路基本分析方法三三图解法应用图解法应用 3.3.分析分析电路参数对静态工作点的影响电路参数对静态工作点的影响 (4 4)的影响的影响 直流负载线不变,直流负载线不变,I IB B不变。但不变。但变大时,变大时,Q Q点上移,易出现饱和失点上移,

64、易出现饱和失真;真;变小时,变小时,Q Q点下点下移,易出现截止失真。移,易出现截止失真。 2.4.4微变等效电路法微变等效电路法2.4 2.4 放大电路基本分析方法放大电路基本分析方法一共射三极管的等效电路一共射三极管的等效电路 1.1.三极管的三极管的h h参数表达式参数表达式 BEBE =f=f ( (i iB B,CECE) i iC C = = g(ig(iB B,CECE) be be = = h hrerei ib b + + h hrerecece i ic c = = h hfefei ib b + + h hoeoecece 求全微分并变换求全微分并变换: :简化形式(有效

65、值形式)简化形式(有效值形式): :U Ube be = = h hrereI Ib b I Ic c = = h hfefe I Ib b又表示为又表示为: :I Ic c = = IIb b U Ubebe= = r rbebeI Ib b2.4.4微变等效电路法微变等效电路法2.4 2.4 放大电路基本分析方法放大电路基本分析方法一共射三极管的等效电路一共射三极管的等效电路 2.2.三极管三极管h h参数等效参数等效电路路 由由U Ubebe= =IIb b 、I Ic c= =r rbebeI Ib b 得得简化简化h h参数等效参数等效电路路:其中,其中,r rbebe = = r

66、rbbbb+ +(1 1 + +)2626 / / I IE E(mAmA)而而r rbbbb一般取一般取300300,或由题意给出。或由题意给出。 2.4.4微变等效电路法微变等效电路法2.4 2.4 放大电路基本分析方法放大电路基本分析方法二二放大器微放大器微变等效等效电路路在放大器交流通路中,将三极管用在放大器交流通路中,将三极管用简化简化h h参数等效参数等效电路路替代:替代:2.4.4微变等效电路法微变等效电路法2.4 2.4 放大电路基本分析方法放大电路基本分析方法三三利用等效利用等效电路法分析放大器路法分析放大器 先先得出放大器的微变等效电路得出放大器的微变等效电路计算电压放大倍

67、数计算电压放大倍数AuAuU Ui i = = I Ib b r rbebe U Uo o = = - -I Ib b R RL L A Au u = = U Uo o / / U Ui i = = - -R RL L/ r rbebe计算电流放大倍数计算电流放大倍数AiAiI Ii i I Ib b I Io o = =I Ib bA Ai i = = I Io o / / I Ii i = =计算输入电阻计算输入电阻RiRiR Ri i = = r rbebeRRB B r rbebe计算输出电阻计算输出电阻R Ro oR Ro o = = R RC C1.1.估算静估算静态工作点;工作点

68、; 微变等效电路法步骤小结:微变等效电路法步骤小结: 2.2.估估算算r rbebe; 3.3.作放大器的交流通路;作放大器的交流通路; 4.4.用用h h参数等效参数等效电路替代三极管,得到放大器微路替代三极管,得到放大器微变 等效等效电路;路; 5.5.解有关解有关动态量量。2.4.4微变等效电路法微变等效电路法2.4 2.4 放大电路基本分析方法放大电路基本分析方法2.4.4微变等效电路法微变等效电路法2.4 2.4 放大电路基本分析方法放大电路基本分析方法四四射极射极偏置偏置放大器放大器计算算 先先得出放大器的微变等效电路得出放大器的微变等效电路计算电压放大倍数计算电压放大倍数AuAu

69、U Ui i = = I Ib b rbebe + +(1+1+)I Ib bR RE E U Uo o = = - -I Ib b R RL L A Au u = = U Uo o / / U Ui i = = - -R RL L/ rbebe + +(1+1+)R RE E 计算电流放大倍数计算电流放大倍数AiAiI Ii i I Ib b I Io o = =I Ib bA Ai i = = I Io o / / I Ii i = =计算输入电阻计算输入电阻RiRiR Ri i = = U Ui i / / I Ii i = = rbebe + +(1+1+)R RE E RRB B计算

70、输出电阻计算输出电阻R Ro oR Ro o = = R RC C2.4.4微变等效电路法微变等效电路法2.4 2.4 放大电路基本分析方法放大电路基本分析方法四四射极射极偏置偏置放大器放大器计算算 在该电路中,若发射极在该电路中,若发射极接有隔直电容接有隔直电容CeCe,则分析结则分析结果与无果与无R RE E放大器结果相同:放大器结果相同:A Au u = = - -R RL L/ r rbebeA Ai i = =R Ri i r rbebeR Ro o = = R RC C2.4.4微变等效电路法微变等效电路法2.4放大电路基本分析方法放大电路基本分析方法 射极射极偏置偏置放大器放大器

71、有无射极旁路电容性能比较有无射极旁路电容性能比较 A Au u = = - -R RL L/ r rbebeA Ai i = =R Ri i r rbebeR Ro o = = R RC CA Au u = = - -R RL L/ r rbebe + +(1+1+)R RE E (变小)变小)A Ai i = = (不变)不变) R Ri i = = r rbebe + +(1+1+)R RE E RRB B (变大)变大)R Ro o = = R RC C (不变)不变)2.5.1温度对放大器性能的影响温度对放大器性能的影响2.5 2.5 工作点稳定问题工作点稳定问题 温度变化时,放大器静

72、态工作点不稳定,影温度变化时,放大器静态工作点不稳定,影响放大器的性能,严重时出现失真。响放大器的性能,严重时出现失真。构成电路时必须做到:构成电路时必须做到:I IR RI IB B 一般,一般,I IR R =(5-10)I =(5-10)IB B2.5.2工作点稳定电路工作点稳定电路一一电路构成路构成 二二静静态工作点的工作点的稳定定 TITIC CIIE EUUE EUUBEBEIIB BIIC C 2.5 2.5 工作点稳定问题工作点稳定问题U UB B = = R RB1B1V VCCCC/(R/(RB1B1+R+RB2B2) ) U UE E = = U UB B - U- UB

73、EBE 2.5.2工作点稳定电路工作点稳定电路三三静静态分析分析 I IC C I IE E = = U UE E/R/RE E I IB B =I=IC C/ / U UCE CE = = V VCC CC - - I IC C(R(RC C + + R RE E) ) 2.5 2.5 工作点稳定问题工作点稳定问题2.5.2工作点稳定电路工作点稳定电路四四动动态分析分析 A Au u = = - -R RL L/ r rbebeA Ai i = =R Ri i = = r rbebeRRB Br rbebe (R RB B = = R RB1B1RRB2B2) R Ro o = = R RC

74、 C若若不带旁路电容不带旁路电容C CE E A Au u = = - -R RL L/ r rbebe + +(1+1+)R RE E A Ai i = =R Ri i = = r rbebe + +(1+1+)R RE E RRB BR Ro o = = R RC C2.6 2.6 放大器三种基本组态放大器三种基本组态 放大器有共发射极、共基极、共集电极三种基本组放大器有共发射极、共基极、共集电极三种基本组态,三种组态三极管态,三种组态三极管h h参数等效电路如下:参数等效电路如下: 2.6 2.6 放大器三种基本组态放大器三种基本组态2.6.1共集电极放大电路共集电极放大电路一一静静态分

75、析分析(静态工作点)(静态工作点) 由由 I IB BR RB B + + V VBE BE + +(1 1 + + )I IB B R RE E = = V VCCCC 得得I IB B= =(V VCCCC-V-VBEBE)/R/RB B+ +(1+1+)R RE E I IC C = = I IB BU UCE CE = = V VCCCC - -(1 1 + + )I IB B R RE E (射极输出器)(射极输出器)2.6 2.6 放大器三种基本组态放大器三种基本组态2.6.1共集电极放大电路共集电极放大电路二二动动态分析分析 2.6 2.6 放大器三种基本组态放大器三种基本组态2

76、.6.1共集电极放大电路共集电极放大电路二二动动态分析分析 R RB B 较大,可忽略。较大,可忽略。1. 1. 电流放大倍数电流放大倍数AiAiI Ii i I Ib b I IO O = = - -(1 1 + + )I Ib bA Ai i = = I IO O/I/Ii i = = - -(1 1 + + ) 2. 2. 电压放大倍数电压放大倍数AuAuU Ui i = = I Ib br rbebe + + (1 1 + + )I Ib bR RL L U UO O = =(1 1 + + )I Ib bR RL L AuAu=U=UO O/ /U Ui i=r rbebe+(1+(

77、1+)R)RL L / /(1+(1+)R)RL L1 1 ( 但但A A V V1 1 ) RsRs=RsRRsRB B2.6 2.6 放大器三种基本组态放大器三种基本组态2.6.1共集电极放大电路共集电极放大电路二二动动态分析分析 3. 3. 输入电阻输入电阻RiRiI Ii iIIb b U Ui i= =I Ib br rbebe +(1+(1+)I)Ib bR RL L R Ri i=V=Vi i/I/Ii i= =r rbebe+(1+(1+)R)RL L较大大 4. 4. 输出电阻输出电阻RoRo去掉去掉R RL L、短路短路U Us s、暂不考虑暂不考虑R RE EU UO O

78、=(=(r rbebe+Rs)I+Rs)Ib b I IO O=(1+=(1+)I)Ib b RoRo=V=VO O/Io=(/Io=(r rbebe+Rs)/+Rs)/(1+(1+) )较小小 考虑到考虑到RoRo与与R RE E要并联要并联, ,输出电阻更小。输出电阻更小。2.6 2.6 放大器三种基本组态放大器三种基本组态2.6.1共集电极放大电路(射极输出器)共集电极放大电路(射极输出器)三三射极射极输出器特点出器特点 1. 1. 电压放大倍数约等于电压放大倍数约等于1 1(1 1)2. 2. 输入电阻较大输入电阻较大3. 3. 输出电阻较小输出电阻较小 射射极极输输出出器器多多用用于

79、于放放大大器器前前后后级级之之间间的的阻阻抗抗变变换,在多级放大器中,常将其用于输入级和输出级。换,在多级放大器中,常将其用于输入级和输出级。 射极射极输出器出器中间级中间级射极射极输出器出器XiXo2.6 2.6 放大器三种基本组态放大器三种基本组态2.6.2共基极放大电路共基极放大电路一一静静态分析分析(静态工作点)(静态工作点) U UB B = = R RB1B1V VCCCC/(R/(RB1B1+R+RB2B2) ) U UE E = = U UB B - U- UBEBE I IC C I IE E = = U UE E/R/RE E I IB B =I=IC C/ / U UCE

80、 CE = = V VCC CC - - I IC C(R(RC C + + R RE E) ) 共基极放大器共基极放大器h参数等效电路参数等效电路2.6 2.6 放大器三种基本组态放大器三种基本组态2.6.2共基极放大电路共基极放大电路二二动动态分析分析 共基极放大器共基极放大器共基极放大器交流通路共基极放大器交流通路1共基极放大器交流通路共基极放大器交流通路22.6 2.6 放大器三种基本组态放大器三种基本组态2.6.2共基极放大电路共基极放大电路二二动动态分析分析 1. 1. 电流放大倍数电流放大倍数A Ai iI Ii i-I Ie e = = - -(1+(1+) )I Ib b I

81、 IO O= = I Ic c = = I Ib b A Ai i= = I IO O / / I Ii i = = - - /(1+/(1+)=)= - - 2. 2. 电压放大倍数电压放大倍数AuAuU Ui i = = - - I Ib br rbebeU UO O = = - - I Ib bR RL L A Au u = = U UO O / / U Ui i = = R RL L/ / r rbebe2.6 2.6 放大器三种基本组态放大器三种基本组态2.6.2共基极放大电路共基极放大电路二二动动态分析分析 3. 3. 输入电阻输入电阻R Ri iI Ii i= = - - (1+

82、(1+) )I Ib b U Ui i = = - - I Ib br rbebeR Ri i= = U Ui i/I/Ii i = = r rbebe/(1+/(1+) )较小小 4. 4. 输出电阻输出电阻R Ro oR Ro o = = R Rc c2.6.3三种组态放大器性能比较三种组态放大器性能比较性能性能组态组态共射组态共射组态共集组态共集组态共基组态共基组态AiAuRiRo频率频率响应响应 大大-(1+-(1+) ) 大大- 小小- R RL L/ / r rbebe 大大1 1 小小R RL L/ / r rbebe 大大r rbebe 中中r rbebe+(1+(1+)R)R

83、L L 大大r rbebe/(1+/(1+) ) 小小R Rc c 中中( (r rbebe+Rs)/+Rs)/(1+(1+) )小小R Rc c 中中较较 差差较较 好好好好 场效应管具有输入电阻高的特点,是电压控制器件,即场效应管具有输入电阻高的特点,是电压控制器件,即用栅源电压用栅源电压u uGSGS控制漏极电流控制漏极电流i iD D。2.7 2.7 场效应管放大电路场效应管放大电路 一一. .静态分析(静态分析(U Ui i=0=0) 2.7.1共源放大电路共源放大电路G G极绝缘极绝缘 I IG G=0=01.1.估算法估算法U UGS GS = = V VGGGGI ID D =

84、 = I IDODO(U UGS GS / / U UT T 1)1)2 2U UDS DS = = V VDDDD - - I ID D R RD D 一一. .静态分析(静态分析(U Ui i=0=0) 直流负载线:直流负载线:2.2.图解法图解法U UDS DS = = V VDD DD I ID D R RD D直流负载线和直流负载线和U UGSGS负载线的交点即为负载线的交点即为Q Q点。点。2.7.1共源放大电路共源放大电路二二. .动态分析(等效电路法)动态分析(等效电路法)1.1.共源场效应管微变等效电路共源场效应管微变等效电路利用全微分求得利用全微分求得I Ig g、I Id

85、 d :2.7.1共源放大电路共源放大电路 I Ig g = = 0 0 I Id d = = g gmmU Ugsgs + + U Udsds/r/rDSDS 其中,其中,二二. .动态分析(等效电路法)动态分析(等效电路法)1.1.共源场效应管微变等效电路共源场效应管微变等效电路2.7.1共源放大电路共源放大电路 I IG G = = 0 0 I ID D = = g gmmU Ugsgs + + U Udsds/r/rDSDS r rDSDS较大(几百较大(几百k k 以上)以上) ,可忽略可忽略二二. .动态分析(等效电路法)动态分析(等效电路法)2. 2. 微变等效电路分析场效应管放

86、大器微变等效电路分析场效应管放大器2.7.1共源放大电路共源放大电路 首先得出交流通路(直流电源短路)首先得出交流通路(直流电源短路) 二二. .动态分析(等效电路法)动态分析(等效电路法)2. 2. 微变等效电路分析场效应管放大器微变等效电路分析场效应管放大器2.7.1共源放大电路共源放大电路 首先得出交流通路(直流电源短路)首先得出交流通路(直流电源短路) 再得出放大器微变等效电路再得出放大器微变等效电路 二二. .动态分析(等效电路法)动态分析(等效电路法)2. 2. 微变等效电路分析场效应管放大器微变等效电路分析场效应管放大器2.7.1共源放大电路共源放大电路 首先得出交流通路(直流电

87、源短路)首先得出交流通路(直流电源短路) 再得出放大器微变等效电路再得出放大器微变等效电路 求解动态量求解动态量 U Ui i= =U UgsgsU UO O= = - - I Id d R RD D= - = - g gm mU Ugsgs R RD DA Au u= = U UO O / / U Ui i R RD D= = - - g gm mR RD DR Ro o = = R RD D一一. .静态分析静态分析2.7.2自偏压放大电路自偏压放大电路1.1.估算法估算法U UGS GS = = R R1 1 V VDDDD/(R/(R1 1 + + R R2 2) ) -I-ID D

88、R RS S再有再有I ID D = = I IDODO(U UGS GS / / U UT T 1)1)2 2R RG G上电流为零上电流为零联立便得到联立便得到I ID D 和和 U UGSGS而而 U UDS DS = = V VDDDD - - I ID D (R RD D + + R RS S)I ID DU UGSGSU UDSDS一一. .静态分析静态分析先由先由 u uGSGS = = R R1 1 V VDDDD/(R/(R1 1 + + R R2 2) ) - -i iD D R RS S在转移特性上在转移特性上作直流负载线,得到作直流负载线,得到Q Q点,从而得出点,从而

89、得出I ID D 、U UGSGS2.2.图解法图解法再由再由 u uDSDS = = V VDDDD - - i iD D (R RD D + + R RS S)在输出特性上在输出特性上作直流负载线,得到作直流负载线,得到Q Q点,从而得出点,从而得出I ID D 、U UDSDSI ID DU UGSGSI ID DU UDSDS二二. .动态分析(等效电路法)动态分析(等效电路法)2.7.2自偏压放大电路自偏压放大电路 首先得出交流通路(直流电源短路)首先得出交流通路(直流电源短路) 二二. .动态分析(等效电路法)动态分析(等效电路法)2.7.2自偏压放大电路自偏压放大电路 首先得出交

90、流通路(直流电源短路)首先得出交流通路(直流电源短路) 再得出放大器微变等效电路再得出放大器微变等效电路 二二. .动态分析(等效电路法)动态分析(等效电路法)2.7.2自偏压放大电路自偏压放大电路 首先得出交流通路(直流电源短路)首先得出交流通路(直流电源短路) 再得出放大器微变等效电路再得出放大器微变等效电路 求解动态量求解动态量 U Ui i= =U UgsgsU UO O= = - - I Id d R RL L = - = - g gm mU Ugsgs R RL LA Au u= = U UO O / / U Ui i R RD D= = - - g gm m R RL LR Ri

91、 i = = R RG G + + R R1 1RR2 2 R Ro o = = R RD D2.8 2.8 多级放大电路多级放大电路 一一. .阻容耦合阻容耦合 2.8.1多级间耦合方式多级间耦合方式2.8 2.8 多级放大电路多级放大电路 二二. .变压器耦合变压器耦合 2.8.1多级间耦合方式多级间耦合方式2.8 2.8 多级放大电路多级放大电路 三三. .直接耦合直接耦合 2.8.1多级间耦合方式多级间耦合方式四四. . 直接耦合放大器中的两个问题直接耦合放大器中的两个问题 2.8.1多级间耦合方式多级间耦合方式1. 1. 级间电平配置问题级间电平配置问题 采用如下几种方式来改进电路采

92、用如下几种方式来改进电路 前后级直接连接,静前后级直接连接,静态工作点互相影响,如第态工作点互相影响,如第二级的二级的U UBEBE=0.7V,=0.7V,则第一级则第一级的的U UCECE=0.7V,=0.7V,致使第一级三致使第一级三极管饱和,整个电路不能极管饱和,整个电路不能正常放大。正常放大。 四四. . 直接耦合放大器中的两个问题直接耦合放大器中的两个问题 2.8.1多级间耦合方式多级间耦合方式解决级间电平配置问题的方法解决级间电平配置问题的方法 (1)(1)后级射极偏置后级射极偏置 提高提高U UBE2BE2(U UCE1CE1)(2)(2)采用采用PNPNPNPNPNPN 管匹配

93、使用管匹配使用(3)(3)前后级间接入稳前后级间接入稳 压管压管, ,提高前级提高前级U UCECE四四. . 直接耦合放大器中的两个问题直接耦合放大器中的两个问题 2.8.1多级间耦合方式多级间耦合方式2. 2. 零点漂移问题零点漂移问题 三极管受温度、光照等因素影响,静态工作点三极管受温度、光照等因素影响,静态工作点发生变化,使得输入为零时,仍有缓慢变化(接近发生变化,使得输入为零时,仍有缓慢变化(接近直流)输出信号存在,称为零点漂移现象。直流)输出信号存在,称为零点漂移现象。 零漂信号较小且频率较低,故对单级放大器、零漂信号较小且频率较低,故对单级放大器、多级阻容耦合放大器和变压器耦合放

94、大器的影响很多级阻容耦合放大器和变压器耦合放大器的影响很小,不必考虑。但在多级直接耦合放大器中,该零小,不必考虑。但在多级直接耦合放大器中,该零漂信号会诸级传输并放大,最终有较大零漂信号输漂信号会诸级传输并放大,最终有较大零漂信号输出,干扰了有效信号,必须加以抑制。出,干扰了有效信号,必须加以抑制。四四. . 直接耦合放大器中的两个问题直接耦合放大器中的两个问题 2.8.1多级间耦合方式多级间耦合方式抑制零点漂移的方法:抑制零点漂移的方法: 1.1.引入直流负反馈稳定静态工作点,减小零点漂移。引入直流负反馈稳定静态工作点,减小零点漂移。 2.2.采用温控元件进行温度补偿,抑制零点漂移。采用温控

95、元件进行温度补偿,抑制零点漂移。 3.3.采用差动放大器,抵消零点漂移信号。采用差动放大器,抵消零点漂移信号。 一一. . 多多级电压放大倍数放大倍数 2.8.2多级放大器动态量求解多级放大器动态量求解多级放大器前级的输出即是后一级的输入多级放大器前级的输出即是后一级的输入 A Au u = = A Au u1 1 A Au u2 2 A Aunun 二二. . 多多级输入电阻输入电阻 多级放大器的输入电阻即是第一级的输入电阻多级放大器的输入电阻即是第一级的输入电阻 R Ri i= = R Ri1i1三三. . 多多级输出电阻输出电阻 多级放大器的输出电阻即是最后一级的输出电阻多级放大器的输出

96、电阻即是最后一级的输出电阻 R RO O= = R R0 0n n四四. . 多多级通频带通频带 多级通频带比任一单级通频带都窄多级通频带比任一单级通频带都窄 BWBW BWBWi i多级放大器前后级关系多级放大器前后级关系 后级相当于前级的负载(后级的输入电阻后级相当于前级的负载(后级的输入电阻R Ri+1i+1是前是前级的负载级的负载R RL Li i);); 前级相当于后级的信号源(前级的输出电压前级相当于后级的信号源(前级的输出电压U UO Oi i是后是后级的信号源电压级的信号源电压U US Si+1i+1,前级的输出电阻前级的输出电阻R RO Oi i是是后级的信号后级的信号源电阻

97、源电阻R RS Si+1i+1)。)。 多级放大器计算举例多级放大器计算举例 如图所示两级放大器,三极如图所示两级放大器,三极管的管的1 1、r rbe1be1、 2 2、r rbe2be2均已知。均已知。(1 1)估算两管的静态工作点;)估算两管的静态工作点;(2 2)计算多级电压放大倍数、计算多级电压放大倍数、 输入电阻、输出电阻。输入电阻、输出电阻。 解:解: (1 1)静态工作点计算(两管分别计算)静态工作点计算(两管分别计算)T T1 1:I IB1B1 = = V VCCCC/ / R RB1B1I IC1C1= =1 1I IB1B1U UCE1CE1 = = V VCC CC

98、- - I IC1 C1 R RC1C1T T2 2:I IB2B2 = = V VCCCC/ / R RB2B2I IC2C2= =2 2I IB2B2U UCE2CE2 = = V VCC CC I IC2 C2 R RC2C2多级放大器计算举例多级放大器计算举例 (2 2)动态量计算)动态量计算第一级第一级:A Au1u1 = = 1 1R RL1L1/ / r rbe1be1其中,其中,R RL1L1=R=RC1C1RRi2 i2 =R=RC1C1r rbe2be2R Ri1i1 = = r rbe1 be1 R Ro1o1 = = R RC1C1第二级第二级:A Au2u2 = =

99、A Asu2su2 = = 2 2R RL2L2 R Ri2i2/ / (R Ri2i2 + + R Rs2s2)r rbe2be2其中,其中,R Rs2s2 = = R RO1 O1 = = R RC1C1 R RL2L2 = = R RC2C2RRL LR Ri2i2 = = r rbe2 be2 R Ro2o2 = = R RC2C2两级之间:两级之间:A Au u = = A Au1u1 A Au1u1 = =1 12 2R RL1L1 R RL2L2 / / r rbe1be1(r rbe2be2 + + R RC1C1) R Ri i = = R Ri1i1 = = r rbe1

100、be1 R Ro o = = R Ro2o2 = = R RC2 C2 第三章第三章 放大电路的频率特性放大电路的频率特性 频率响应的一般概念,三极管混合频率响应的一般概念,三极管混合参数等效电路,放大器的频率特性分析。参数等效电路,放大器的频率特性分析。 本章主要内容:本章主要内容:返返回回前前进进3.1 3.1 频率特性概念频率特性概念 1 1放大器频率特性曲线放大器频率特性曲线 放大器的放大倍数与所放大信号的频率有放大器的放大倍数与所放大信号的频率有关:频率较小、较大时,放大倍数均变小、且关:频率较小、较大时,放大倍数均变小、且相位随之变化,只有当频率适中(中频)时放相位随之变化,只有当

101、频率适中(中频)时放大倍数为一常量。如下式所示。大倍数为一常量。如下式所示。 A=Aej.频率特性曲线如下所示。频率特性曲线如下所示。 下限频率下限频率f fL L 上限频率上限频率f fH H 通通频带频带BW = BW = f fH H - - f fL LffH H 2 2放大器频率失真放大器频率失真 当当输输入入信信号号含含有有多多个个频频率率,不不同同频频率率信信号号放放大大倍倍数数不不同,可导致输出波形产生频率失真。同,可导致输出波形产生频率失真。 2 2波特(波特(BodeBode)图 波波特特图图即即对对数数频频率率特特性性图图可可以以在在较较小小的视野内反映较大的频率变化情况

102、。的视野内反映较大的频率变化情况。 放放大大器器的的幅幅频频特特性性曲曲线线采采用用波波特特图图:横横坐坐标标是是频频率率的的对对数数lgflgf、纵纵坐坐标标是是电电压压放放大大倍倍数数对对数数的的2020倍倍20lgA20lgAu u单单位位为为分分贝贝dBdB,而而相相频频特性曲线纵坐标不采用对数。特性曲线纵坐标不采用对数。 (1 1)波特波特图 2 2波特(波特(BodeBode)图 A Au u增大增大1010倍,相应的倍,相应的20lgA20lgAu u增加增加20dB20dB; A Au u增大增大1 1倍,相应的倍,相应的20lgA20lgAu u约约增加增加6dB6dB; A

103、 Au u1 1,相应的相应的20lgA20lgAu u0 0; A Au u1 1,相应的相应的20lgA20lgAu u0 0。 (2 2)A Au u与与20lgA20lgAu u的关系的关系3 3R R C C高通电路高通电路 R R C C高通电路波特图高通电路波特图 (1 1)f ff fL L时,时, 20lgA20lgAu u0dB0dB;(2 2)f ff fL L时,时,20lgA20lgAu u20lg(f/f20lg(f/fL L) );(3 3)f=f=f fL L时,时,20lgA20lgAu u= =3dB3dB。 4 4R R C C低通电路低通电路 R R C

104、 C低通电路波特图低通电路波特图 (1)(1)f ff fH H时时20lgA20lgAu u0dB0dB;(2)f ff fH H时时20lgA20lgAu u 20lg20lg(f/f(f/fH H) );(3)f=(3)f=f fH H时时20lgA20lgAu u= =3dB3dB。 波特图规律小结波特图规律小结(1)幅频特性规律)幅频特性规律由由两两条条直直线线构构成成:平平行行于于横横轴轴的的直直线线及及一一条条斜斜线线,具体为:具体为:1/(1-jf/fL)的的波波特特图图为为两两条条直直线线斜斜率率为为20dB/十十倍倍频频直直线线、平平行行水水平平轴轴的的直直线线;1/(1+

105、jfH/f)的的波波特特图图为为两两条条直直线线平平行行水水平平轴轴的的直直线线、斜斜率率为为20dB/十倍频直线。十倍频直线。上上述述二二直直线线构构成成的的波波特特图图与与实实际际幅幅频频特特性性相相比比,最最大误差为大误差为3dB,发生在发生在fL或或fH处。处。波特图规律小结波特图规律小结(2)相频特性规律)相频特性规律由由三三条条直直线线构构成成:平平行行于于横横轴轴的的两两条条直直线线及及一一条条斜斜线,具体为:线,具体为:1/(1-jf/fL)的的波波特特图图为为0、90两两条条平平行行水水平平轴轴的的直直线线及及斜斜率率为为45/十十倍倍频频的的直直线线;1/(1+jfH/f)

106、的的波波特特图图为为0、90两两条条平平行行水水平平轴轴的的直直线线及及斜斜率率为为45/十十倍频的直线。倍频的直线。上上述述三三直直线线构构成成的的波波特特图图与与实实际际相相频频特特性性相相比比,最大误差为最大误差为5.71,发生在,发生在fL或或fH处。处。3.2 3.2 三极管频率参数三极管频率参数 1 1的波特图的波特图三极管的三极管的与频率与频率有关,具体为有关,具体为= =0 0/(1+jf/f/(1+jf/f) ),f f为三极管为三极管下降下降至至0.7070.7070 0时的时的频率。频率。 2 2几个频率参量几个频率参量(1 1)共射截止频率)共射截止频率f f下降至下降

107、至0.7070.7070 0时的时的f f值。值。(2 2)特征频率)特征频率f fT T下降至下降至1 1时的时的f f值。值。(3 3)共基截止频率)共基截止频率f f下降至下降至0.7070.7070 0时的时的f f值。值。(4 4)关系)关系 f fT T = =0 0 f f f f=(1+=(1+0 0) f) f f ff fT Tf f 3.3 3.3 单级放大器频率特性单级放大器频率特性 1 1三三级管混合管混合参数等效参数等效电路路 三极管内部的实际体现。三极管内部的实际体现。(1 1)混合混合参数等效参数等效电路路 (2 2)简化)简化混合混合参数等效参数等效电路路 r

108、rb cb c 、r rcece较大,可略去大,可略去。再用密勒定理变换,。再用密勒定理变换,得得到到下下图所示的所示的简化混合化混合参数等效参数等效电路:路: C Cb be eggm m/(2f/(2fT T) K=-) K=-g gm mR RC C (3 3)参数等效参数等效电路路与与h h参数等效电路的对比参数等效电路的对比 中低频时,电容影响忽略,简化混合中低频时,电容影响忽略,简化混合参数等效电参数等效电路即化为简化路即化为简化h h参数等效电路:参数等效电路: r rb be e= =(1+1+)26/I26/IE E g gm m=/r=/rb be eIIE E/26/26

109、 2 2几个频率参量几个频率参量(1 1)共射截止频率)共射截止频率f f下降至下降至0.7070.7070 0时的时的f f值。值。(2 2)特征频率)特征频率f fT T下降至下降至1 1时的时的f f值。值。(3 3)共基截止频率)共基截止频率f f下降至下降至0.7070.7070 0时的时的f f值。值。(4 4)关系)关系 f fT T = =0 0 f f f f=(1+=(1+0 0) f) f f ff fT Tf f 2 2阻容耦合阻容耦合单管放大器管放大器频率特性率特性 放大电路如右图所放大电路如右图所示,其混合示,其混合参数等效参数等效电路如下图所示:电路如下图所示:

110、(1 1)中中频特性特性 C C1 1容抗容抗较小看作小看作短路;极短路;极间电容容抗容容抗较大看作开路大看作开路: (2 2)低低频特性特性 略去略去CC和和(K-1K-1)C Cb be e/K/K(3 3)高)高频特性特性 电容容C C1 1可略去可略去,并用并用戴戴维南定理将南定理将电路等效路等效为:为: (4 4)频率特性波特率特性波特图 全部全部频段的放段的放大倍数大倍数课近似表近似表示示为: 波特图如右所示波特图如右所示: 3.3 3.3 多级放大器频率特性多级放大器频率特性 多级放大倍数与各单级放大倍数关系为:多级放大倍数与各单级放大倍数关系为: 这种关系决定了多级放大器通频带

111、比每这种关系决定了多级放大器通频带比每一级的通频带都窄。一级的通频带都窄。 两级放大两级放大倍数与单级放倍数与单级放大倍数波特图大倍数波特图对比对比 第四章第四章 集成运算放大器集成运算放大器 集成电路、差动放大器、集成运算放大器。集成电路、差动放大器、集成运算放大器。 本章主要内容:本章主要内容:返返回回前前进进4.1 4.1 集成电路概念集成电路概念 1 1集成电路集成电路分分类 (1)按元器件集成度分为:)按元器件集成度分为:小规模集成电路(小规模集成电路(100100个元件以内)个元件以内)SSISSI中规模集成电路(中规模集成电路(100-1000100-1000个元件)个元件)MS

112、IMSI大规模集成电路(大规模集成电路(1000-1000001000-100000个元件)个元件)LSILSI超大规模集成电路(超大规模集成电路(100000100000个以上元件)个以上元件)VLSIVLSI。现在集成度已达到数千亿。现在集成度已达到数千亿。在一小块硅片上做出许多个元件并将其联结成电路。在一小块硅片上做出许多个元件并将其联结成电路。 1 1集成电路集成电路分分类 (2)按制造工艺分为:)按制造工艺分为:膜集成电路、半导体集成电路和混合集成电路三种。膜集成电路、半导体集成电路和混合集成电路三种。(3 3)按有源器件类型分为:按有源器件类型分为:双双极极性性集集成成电电路路、单

113、单极极性性集集成成电电路路和和混混合合型型集集成成电电路三种。路三种。(4 4)按电路功能分为:)按电路功能分为: 模模拟拟集集成成电电路路、数数字字集集成成电电路路、接接口口集集成成电电路路和和特特殊集成电路四种殊集成电路四种。2 2常见芯片封装形式常见芯片封装形式 3 3集成电路集成电路特点特点 (1 1)集成电路为多级直接耦合放大器)集成电路为多级直接耦合放大器(2 2)由由于于体体积积所所限限,只只能能集集成成电电阻阻、晶晶体体管管等等器器件件,不能集成电容、电感等较大体积器件不能集成电容、电感等较大体积器件(3 3)元器件参数对称稳定)元器件参数对称稳定(4 4)所制阻值不能太大(几

114、十千欧以内)所制阻值不能太大(几十千欧以内)(5 5)往往用三极管或场效应管代替大电阻)往往用三极管或场效应管代替大电阻集成运算放大器是常用的模拟集成电路。集成运算放大器是常用的模拟集成电路。4.2 4.2 集成运放基本组成部分集成运放基本组成部分 电路由输入级、中间级、输出级构成。电路由输入级、中间级、输出级构成。 输入级采用差动放大器,中间级由一般输入级采用差动放大器,中间级由一般放大器构成,输出级多为功率输出器,偏置放大器构成,输出级多为功率输出器,偏置电路则由电流源组成。电路则由电流源组成。 1 1偏置电路偏置电路 (1)镜像电流源)镜像电流源IR=(VCCUBE1)/RIB1=IB2

115、=IB则则IC2=IC1=IR2IB=IR2IC/IC2=IR/(1+2/)IR=(VCCUBE1)/R I IC2C2、I IR R之间的关系如同镜像一样。之间的关系如同镜像一样。 1 1偏置电路偏置电路 (2)比例电流源)比例电流源IE1R1=IE2R2IC1R1IC2R2IC2=IC1R1/R21RR1/R2 I IC2C2、I IR R之间有比例关系。之间有比例关系。 1 1偏置电路偏置电路 (3)微电流源)微电流源UBE1UBE2=IE2REIC2RE由由二二极极管管方方程程IEIC=IS(e在在RE不不大大的的情情况况下下,得得到很小的电流到很小的电流IC2UBE1UBE2=IE2

116、REIC2REIEIC=IS(eUBE/UT1)ISeUBE/UTIS1=IS2则则UTlgIC1/IC2IC2RE当当IC1和和RE已知时,可求出已知时,可求出IC2IC2可以很小。可以很小。2 2差分输入级差分输入级(1)基本差动放大器)基本差动放大器由双管构成最简单差分放大器由双管构成最简单差分放大器 当双端输出信号时,零点漂移为零。当双端输出信号时,零点漂移为零。R RB B较大,常忽略。较大,常忽略。 (2)两种输入信号)两种输入信号差摸信号差摸信号U Ud d:一对大小相等、极性相反的信号。一对大小相等、极性相反的信号。共摸信号共摸信号U UC C : :一对大小相等、极性相同的信

117、号。一对大小相等、极性相同的信号。差摸工作方式差摸工作方式共摸工作方式共摸工作方式(3)电压放大倍数)电压放大倍数 差模放大倍数差模放大倍数U UO1O1=A=Au1u1U Uid1id1= A= Au1u1U Ui i/2/2 U UO2O2=A=Au2u2U Ui2i2=A=Au1u1U Uid2id2= -A= -Au1u1U Ui i/2/2A Ad d=( U=( UO1O1- - U UO2O2)/( U)/( Uid1id1- - U Uid2id2) =) = U UO O/ /U Ui i= A= Au1 u1 共模放大倍数共模放大倍数A AC C=( U=( UO1O1-

118、-U UO2O2)/U)/UiCiC 共模抑制比共模抑制比 K KCMRCMR= A= Ad d / A / AC C (4)长尾式差动放大电路)长尾式差动放大电路静态分析:静态分析:短路交流,可得到短路交流,可得到IBRS+UBE+(1+)IB(2RE+RW/2=VEE)可计算出可计算出I IB B 而而I IC C= I= IB B则则U UC C=V=VCCCC-I-IC CR RC C差模动态分析:差模动态分析:将双管拆分为单管问题。将双管拆分为单管问题。U Uo1 o1 = -R= -RL LUUidid/R/RS S+r+rieie+(1+)R+(1+)RW W /2 = -U/2

119、 = -Uo2o2A Audud=-=-R RL L/R RS S+rie+rie+ +(1+1+)R RW W/2/2 A Aud1ud1= A= Audud/2/2R Ridid= = R RS S+rie+rie+(1+1+)R RW W/2/2 R RO O = = 2R2RC C R RO1O1 = = R RC C 共共模动态分析:模动态分析:将双管拆分为单管问题。将双管拆分为单管问题。U Uo1o1=U=Uo2o2=-R=-RL LUUicic/R/RS S+r+rieie+(1+)(R+(1+)(RW W /2+2R/2+2RE E) ) A Aucuc= =(U Uo1o1

120、U Uo2o2)/ /U Uicic=0 =0 A Auc1uc1=U=Uo1o1/U Uicic- - R RC C / / 2R2RE E 共共模抑制比模抑制比 CMRRCMRR = = A Ad d/A/Ac c双端输出双端输出CMRRCMRR = = A Ad d/A/Ac c = = A Ad d/0 =/0 = 单端输出单端输出CMRRCMRR1 1 = = A Ad1d1/A/Ac1c1 R RE E / /(R RS S+rie+rie) (5)带恒流源差动放大电路)带恒流源差动放大电路静态分析:静态分析: U UB BR R1 1V VCCCC/ /(R R1 1 + + R

121、 R2 2) 则则 U UE3E3=U=UB BUUBE3BE3U UB B I IC3C3I IE3E3=V=VE3E3/R/R3 3 I IC1C1I IC2C2I IC3C3/2 /2 I IB1B1=I=IB2B2I IC1C1/ / U UC1C1=U=UC2C2=V=VCCCCIIC1C1R RC C差模动态分析:差模动态分析: 恒恒流流源源不不起起作作用用,可可认认为为不不存存在在(短短路路),分析结果同常尾差放。,分析结果同常尾差放。 (6)单端输入方式)单端输入方式 等等效效为为双双端端差差摸摸输输入入工工作作方方式式。其其结结果果与差模双端输入结果相同。与差模双端输入结果相

122、同。 (7)四种输入)四种输入-输出方式输出方式双端输入双端输入双端输出双端输出 双端输入双端输入单端输出单端输出 单端输入单端输入双端输出双端输出 单端输入单端输入单端输出单端输出 3 3功率输出级功率输出级(1)功率放大器)功率放大器ui正半周正半周T1导通、导通、T2截止,截止,ui负半周负半周T1截止、截止、T2导通。导通。为互补对称电路。详见第九章。为互补对称电路。详见第九章。(2)复合三极管)复合三极管 为为提提高高三三极极管管的的放放大大倍倍数数,将将两两只只三三极极管管连连结起来,组成复合三极管。结起来,组成复合三极管。 三极管复合的原则是各极电流方向一致。三极管复合的原则是各

123、极电流方向一致。 有四种复合方式有四种复合方式(2)复合三极管)复合三极管复合三极管类型同第一只管子。复合三极管类型同第一只管子。同类型复合:同类型复合:1 12 2 、 r r be be r r be1 be1+ + (1+(1+1 1)r)rbe2be2异类型复合:异类型复合:1 12 2 、 r r be be r rbe1be1(3)过载保护电路过载保护电路 当当负负载载电电流流过过大大时时,流流经经三三极极管管的的电电流流也也增增大大,会会烧烧坏坏管管子子。为为此此,增增加加过过载载保保护护电电路路将将增增大大的的电电流分流,以保护管子。流分流,以保护管子。 常用的电路有二极管保护

124、和三极管保护两种电路。常用的电路有二极管保护和三极管保护两种电路。1. .理想运放特性理想运放特性(1)开环电压放大倍数)开环电压放大倍数Auo(2)差摸输入电阻差摸输入电阻Rid(3)输出电阻输出电阻Ro0(4)共摸抑制比共摸抑制比CMRR(5)工作性能稳定工作性能稳定4.2 4.2 集成运放基本组成部分集成运放基本组成部分 2. .两条重要推论两条重要推论(1)运放的反相端和同相端电位相同运放的反相端和同相端电位相同V+=V-4.2 4.2 集成运放基本组成部分集成运放基本组成部分 (2)运放两输入端的输入电流均为运放两输入端的输入电流均为0I+=I-=0第五章第五章 反馈放大器反馈放大器

125、反馈概念反馈概念、反馈作用、反馈放大器分析计算反馈作用、反馈放大器分析计算本章主要内容:本章主要内容:返返回回前前进进5.1 5.1 反馈概念反馈概念 1 1反馈概念反馈概念 将放大器的输出信号(电压或电流)通将放大器的输出信号(电压或电流)通过一定方式回送到输入回路的过程叫反馈。过一定方式回送到输入回路的过程叫反馈。基本放大器 Au反馈网络 Fi iX XX Xf fi iX XX Xo开环放大器开环放大器 反馈放大器反馈放大器 ( (闭环放大器闭环放大器) )原输入信号 X Xi i净输入信号 X Xi i反馈信号 X Xf f输入端信号:输出信号 X Xo o输出端信号:反馈系数 F=F

126、=X Xf f/X/Xo o 反映了反馈的强弱反映了反馈的强弱 开环放大倍数 A=XA=Xo o/X/Xi i 基本放大器放大倍数基本放大器放大倍数闭环放大倍数 A Af f=X=Xo o/X/Xi i 反馈放大器放大倍数反馈放大器放大倍数2 2反馈放大器有关参量反馈放大器有关参量 有以下几种分类方式:有以下几种分类方式: (1 1)从从X Xi i和和X Xi i大小来分:大小来分:正反馈、负反馈正反馈、负反馈 3 3反馈类型反馈类型 (2 2)反馈信号类型来分:反馈信号类型来分:交流反馈和直流反馈交流反馈和直流反馈 (3 3)从输出端反馈取样来分:从输出端反馈取样来分:电压反馈和电流反馈电

127、压反馈和电流反馈 (4 4)从从X Xi i和和X Xi i连接连接来分:来分:串联反馈和并联反馈串联反馈和并联反馈1616种种反反馈馈类类型型电压串联电压串联电压并联电压并联电流串联电流串联电流并联电流并联电压串联电压串联电压并联电压并联电流串联电流串联电流并联电流并联电压串联电压串联电压并联电压并联电流串联电流串联电流并联电流并联电压串联电压串联电压并联电压并联电流串联电流串联电流并联电流并联8 8种负反馈种负反馈8 8种正反馈种正反馈4 4种交流反馈种交流反馈4 4种直流反馈种直流反馈4 4种交流反馈种交流反馈4 4种直流反馈种直流反馈本章主要学习四种类型的交流负反馈放大器本章主要学习四

128、种类型的交流负反馈放大器: :电压串联负反馈放大器电压串联负反馈放大器电压并联负反馈放大器电压并联负反馈放大器电流串联负反馈放大器电流串联负反馈放大器电流并联负反馈放大器电流并联负反馈放大器反馈类型举例反馈类型举例交流交流 电压电压 并联并联 正正 反馈反馈(1 1)交流电压并联正反馈放大器)交流电压并联正反馈放大器直流直流 电流电流 串联串联 负负 反馈反馈(2 2)直流电流串联负反馈放大器)直流电流串联负反馈放大器反馈放大器反馈放大器R RF FR R1 1R R2 2R R3 3R R4 4C C2 2C C1 1C C3 3U Ui i+V+Vcccc+ + +- - -R RL LU

129、 Uo o反馈放大器反馈放大器R RF FR R1 1R R2 2R R3 3R R4 4C C2 2C C1 1C C3 3U Ui i+V+Vcccc+ + +- - -R RL LU Uo oI Ii iI If fI Ii iU Uo o交流电压并联正反馈反馈放大器反馈放大器反馈放大器反馈放大器R RF FR R1 1R R2 2R R3 3R R4 4C C2 2C C1 1C C3 3U Ui i+V+Vcccc+ + +- - -R RL LU Uo oI Ii iI If fI Ii i交直流电压并联正反馈反馈放大器反馈放大器反馈放大器反馈放大器R RF FR R1 1R R2

130、 2R R3 3R R4 4C C2 2C C1 1C C3 3U Ui i+V+Vcccc+ + +- - -R RL LU Uo o交直流电压并联正反馈反馈放大器反馈放大器反馈放大器反馈放大器R RF FR R1 1R R2 2R R3 3R R4 4C C2 2C C1 1C C3 3U Ui i+V+Vcccc+ + +- - -R RL LU Uo o(1 1)输入端信号规定输入端信号规定3 3负反馈放大器信号单位量纲(规定)负反馈放大器信号单位量纲(规定) 串联反馈:采用电压(串联反馈:采用电压(U Ui i 、U Uf f 、U Ui i) 并联反馈:采用电流(并联反馈:采用电流

131、(I Ii i、 I If f 、I Ii i) (2 2)输出端信号规定输出端信号规定电压反馈:采用电压(电压反馈:采用电压(UoUo)电流反馈:采用电流(电流反馈:采用电流(I Io o)(1 1)电压串联负反馈电压串联负反馈 4 4负反馈放大器四种组态负反馈放大器四种组态U Uf f = = R R1 1U Uo o / / (R(R1 1+R+RF F ) F) Fu u = = U Uf f / / U Uo o = = R R1 1/ / (R(R1 1+R+RF F ) ) 某某因因素素U Uo oUUf fUUi iUUo o 由由此此,输出电压稳定输出电压稳定电压负反馈稳定输

132、出电压。电压负反馈稳定输出电压。 (2 2)电压并联负反馈电压并联负反馈 4 4负反馈放大器四种组态负反馈放大器四种组态I If f = =U Uo o/R/RF F F Fg g = = I If f / / U Uo o = = 1/R1/RF F 某某因因素素U Uo oIIf fIIi iUUo o 由由此此,输出电压稳定输出电压稳定电压负反馈稳定输出电压。电压负反馈稳定输出电压。 (3 3)电流串联负反馈电流串联负反馈 4 4负反馈放大器四种组态负反馈放大器四种组态U Uf f = =I Io oR RF F F Fr r = = U Uf f / / I Io o = = R RF

133、 F 某某因因素素I Io oUUf fUUi iIIo o 由由此此,输出电流稳定输出电流稳定电流负反馈稳定输出电流。电流负反馈稳定输出电流。 (1 1)电流并联负反馈电流并联负反馈 4 4负反馈放大器四种组态负反馈放大器四种组态U UR3R3=I=Io o(R(R3 3R RF F) I) If f= = (0(0U Uo o) ) /R/RF F= =R R3 3I Io o/ / (R(R3 3+R+RF F ) ) F Fi i = = I If f / / I Io o = =R R1 1/ / (R(R1 1+R+RF F ) ) 某某因因素素I Io oIIf fIIi iII

134、o o 由由此此,输出电流稳定输出电流稳定电流负反馈稳定输出电流。电流负反馈稳定输出电流。 5 5反馈一般表达式反馈一般表达式 A Af f = = A A /(1+FA)/(1+FA)(1 1)1+FA1+FA1 , 1 , 则则A Af fA , A , 为负反馈为负反馈, ,(2 2)1+FA1+FA1 , 1 , 则则A Af fA , A , 为正反馈为正反馈, ,(3 3)1+FA1+FA0 , 0 , 则则A Af f, ,那么那么U Ui i = = 0 0 时时, ,仍有仍有 输出输出U Uo o存在存在自激振荡器。自激振荡器。 (1 1)反馈一般表达式反馈一般表达式(2 2

135、)说明说明6 6深度负反馈深度负反馈D 1+FA 1,称为深度负反馈。称为深度负反馈。此时,此时,Af1/F7 7反馈类型的判断方法反馈类型的判断方法(1)有无反馈的判断)有无反馈的判断 直接观察法:若输入回路和输出回路间有联接直接观察法:若输入回路和输出回路间有联接元件元件, ,则有反馈则有反馈, ,否则无反馈。否则无反馈。 (2)交流直流反馈的判断)交流直流反馈的判断 直接观察法直接观察法: :观察反馈信号是交流还是直流。观察反馈信号是交流还是直流。 (3)电压电流反馈的判断)电压电流反馈的判断 短路输出端法:短路输出端,若反馈消失,则原反短路输出端法:短路输出端,若反馈消失,则原反馈为电

136、压反馈;否则,则原反馈为电流反馈。馈为电压反馈;否则,则原反馈为电流反馈。 7 7反馈类型的判断方法反馈类型的判断方法(4)串联并联反馈的判断)串联并联反馈的判断 短路输入端法:短路输入端,若反馈消失,则原反短路输入端法:短路输入端,若反馈消失,则原反馈为并联反馈;否则,则原反馈为串联反馈。馈为并联反馈;否则,则原反馈为串联反馈。 一般情况下,直接反馈到放大器输出端的为并联反一般情况下,直接反馈到放大器输出端的为并联反馈;否则为串联反馈。馈;否则为串联反馈。 (5)正负反馈的判断)正负反馈的判断 瞬时极性法:从前至后依次标出诸三极管各极极性,瞬时极性法:从前至后依次标出诸三极管各极极性,再比较

137、原输入信号和净输入信号的大小。若净输入信号再比较原输入信号和净输入信号的大小。若净输入信号小为负反馈,否则为正反馈。小为负反馈,否则为正反馈。 反馈类型的判断举例反馈类型的判断举例直流电流并联负反馈直流电流并联负反馈交流电压串联负反馈交流电压串联负反馈5.2 5.2 负反馈对放大器性能的影响负反馈对放大器性能的影响 1 1提高放大倍数的稳定性提高放大倍数的稳定性 负反馈放大器是以降低放大倍数为代价换负反馈放大器是以降低放大倍数为代价换取对其性能改善的。取对其性能改善的。 对对Af=A/(1+FA)两边求导:两边求导:dAf/dA=(1+FAFA)/(1+FA)2=1/(1+FA)2则则dAf=

138、dA/(1+FA)2,两边同除于式两边同除于式Af=A/(1+FA)得到:得到:dAf/Af=1/(1+FA)dA/A引进负反馈后,放大倍数的稳定性提高了引进负反馈后,放大倍数的稳定性提高了1 1 + + FAFA倍倍 2 2减小非线性失真减小非线性失真引进负反馈后,非线性失真减小了引进负反馈后,非线性失真减小了1 1 + + FA1FA1 + + FAFA倍倍 定性分析:定性分析:3 3展宽通频带展宽通频带通频带展宽通频带展宽1 1 +FA+FA倍。倍。4 4改变输入输出电阻改变输入输出电阻仅与反馈在输入端的联接形式有关。仅与反馈在输入端的联接形式有关。 (1 1)对输入电阻的改变对输入电阻

139、的改变串联负反馈增大输入电阻串联负反馈增大输入电阻 R Rifif =(1+FA)R=(1+FA)Ri i 并联负反馈降低输入电阻并联负反馈降低输入电阻 R Rifif = = R Ri i/(1+FA) /(1+FA) 仅与反馈在输出端的联接形式有关。仅与反馈在输出端的联接形式有关。 (2 2)对输出电阻的改变对输出电阻的改变电压负反馈降低输出电阻电压负反馈降低输出电阻降低降低1+FA1+FA倍倍 电流负反馈增大输出电阻电流负反馈增大输出电阻 增加增加1+FA1+FA倍倍 5.3 5.3 负反馈放大器的分析负反馈放大器的分析 1 1利用利用Af1/F估算估算仅介绍深度负反馈放大器的估算法。仅

140、介绍深度负反馈放大器的估算法。 此方法多用于电压串联负反馈放大器电压放大倍此方法多用于电压串联负反馈放大器电压放大倍数的估算。数的估算。 首先在电路中求反馈系数求首先在电路中求反馈系数求F F,再利用再利用A Af f 1/F 1/F 求放大倍数求放大倍数A Af f。 2 2利用利用XfXi 多用于除电压串联负反馈以外的负反馈放大器多用于除电压串联负反馈以外的负反馈放大器电压放大倍数的估算。电压放大倍数的估算。 第六章第六章 模拟信号运算电路模拟信号运算电路 三种基本输入方式、各种运算关系电路。三种基本输入方式、各种运算关系电路。本章主要内容:本章主要内容:返返回回前前进进6.1 6.1 运

141、放三种基本输入方式运放三种基本输入方式1 1反相输入方式反相输入方式由于由于I I+ +=0=0、U U- -=U=U+ +=0=0,则则I I1 1= = I If f = = U Ui i /R/R1 1 U Uo o= =I If fR Rf f = = (R Rf f /R/R1 1)U Ui iA Au u = = U UO O / / U Ui i = =R Rf f /R/R1 1 理想运算放大器有三种基本连接方式。利理想运算放大器有三种基本连接方式。利用这三种基本工作方式,可进行模拟信号之间用这三种基本工作方式,可进行模拟信号之间的运算。的运算。 2 2同相输入方式同相输入方式

142、 U U+ + = = U U- - = = U Ui i 而而U U- - = = R R1 1 U UO O / /(R R1 1 + + R R2 2),),则则U UO O = = (1 1 + + R Rf f /R/R1 1)U Ui i A Au u = = U UO O / / U Ui i = = 1 1 + + R Rf f /R/R1 1 3 3差分输入方式差分输入方式U U+ + = = U U- - = = U Ui2i2 R Rf f / /(R R1 1 + + R Rf f) 而而(U Ui1i1U U- -)/R/R1 1 = = (U U- -U UO O)

143、/ /R Rf f 故故U UO O = =(U Ui1i1- - U Ui2i2)R Rf f/R/R1 1 A Au u = = U UO O / /(U Ui1 i1 - - U Ui2i2)= =R Rf f /R/R1 1 4 4其他电路计算其他电路计算 U-=U+=0,则,则I1=I2=Ui/R1UP=U-I2R2=-I2R2I4=UP/R4I3=I2+I4UO=UPI3R3=(R2R3+R3R4+R2R4)Ui/R1R4Au=(R2R3+R3R4+R2R4)/R1R46.2 6.2 求和运算求和运算 1 1反相器反相器U Uo o = =(R/RR/R)U Ui i = =U U

144、i i 2 2比例器比例器U Uo o= =(R Rf f / / R R1 1)U Ui i 6.2 6.2 求和运算求和运算 3 3反相输入加法器反相输入加法器U U- - = = 0 0 ,I I1 1 = = U Ui1 i1 / / R R1 1 I I2 2 = = U Ui2 i2 / / R R2 2 , I I3 3 = = U Ui3 i3 / / R R3 3 I If f = = I I1 1 + + I I2 2 + + I I3 3 U Uo o = = - - I If f R Rf f = =(U Ui1 i1 R Rf f / / R R1 1 + + U U

145、i2 i2 R Rf f / / R R2 2 + + U Ui3 i3 R Rf f / / R R3 3)当当R R1 1 = = R R2 2 = = R R3 3 U Uo o = =R Rf f / / R R1 1(U Ui1 i1 + + U Ui2 i2 + U+ Ui3 i3 )当当R R1 1 = = R R2 2 = = R R3 3 = = R Rf f U Uo o = =(U Ui1 i1 + + U Ui2 i2 + U+ Ui3 i3 )在加法器后接一反相器,可使在加法器后接一反相器,可使U UO O = = U Ui1 i1 + + U Ui2 i2 + U+

146、 Ui3i3 6.2 6.2 求和运算求和运算 4 4同相输入加法器同相输入加法器(U(Ui1i1U U+ +)/R)/R1 1+(U+(Ui2i2U U+ +)/R)/R2 2+(U+(Ui3i3U U+ +)/R)/R3 3=U=U+ +/ /R Rp p解得:解得: U U+ +=U=Ui1i1R/ RR/ R1 1+ U+ Ui2i2R/ RR/ R2 2+ U+ Ui3i3R/ RR/ R3 3其中,其中,R=RR=R1 1RR2 2RR3 3RpRp那么,由那么,由U U+ +/R/R1 1=(=(U Uo oU U+ +)/)/R Rf f得:得: U Uo o=(1+R=(1+

147、Rf f/R/R1 1)(U)(Ui1i1R/RR/R1 1+ U+ Ui2i2R/RR/R2 2+ U+ Ui3i3R/RR/R3 3) ) 6.2 6.2 求和运算求和运算 5 5减法器减法器U UO O = = (U Ui2 i2 U Ui1i1)R Rf f / / R R1 1当当R Rf f = = R R1 1时,时,U UO O = = U Ui2 i2 U Ui1i1 U UO O= =(1+R1+Rf2f2/R/R2 2)V Vi2i2- - (1+R1+Rf1f1/R/R1 1)V Vi1i1当当R Rf2 f2 / / R R2 2 = = R Rf2 f2 / / R

148、 R2 2 = = R Rf f / / R R1 1时时 , U UO O = = (U Ui2 i2 U Ui1i1)R Rf f / / R R1 1当当R Rf f = = R R1 1时,时,U UO O = = U Ui2 i2 U Ui1i1 6.2 6.2 求和运算求和运算 6 6积分和微分运算积分和微分运算i i1 1 = = i iC C = =i i / / R R O O = =(1/C1/C)i iC Cdtdt = =(1/RC1/RC)i i dtdt i iC C = i= if f = = CdCdi i/dt/dt O O = =i if fR Rf f =

149、 =R Rf fCdCdi i/dt/dt6.2 6.2 求和运算求和运算 7 7指数和对数运算指数和对数运算i iC Ci iE EI IR R(e eBEBE/U/UT T-1-1)I IR R e eBEBE/V/VT T (设(设BE BE V VT T)BE BE = = U UT T lnln(i iC C/I/IR R)i iC C = = i i / / R R1 1O O = = - -BE BE = = - - U UT T lnln(i i /I/IR RR R1 1) i i = U = UT T lnln(i iC C/I/IR R)则则i iC C = I = IR

150、 Rlnln-1-1(i i/U/UT T)O O=-=-i iC CR Rf f=-I=-IR RR Rf flnln-1-1(i i/V/VT T)8 8综合运算电路综合运算电路 利用对数、反对数电路,还可实现指数运算。与利用对数、反对数电路,还可实现指数运算。与加法电路结合,可实现乘除运算。加法电路结合,可实现乘除运算。 第七章第七章 波形发生电路波形发生电路 正弦波发生器(正弦振荡器)、正弦波发生器(正弦振荡器)、非正弦波发生器。非正弦波发生器。本章主要内容:本章主要内容:返返回回前前进进7.1 7.1 正弦振荡器概述正弦振荡器概述 1 1振荡器方框图振荡器方框图 振荡器就是无需输入信

151、号,自发产生一定大小、振荡器就是无需输入信号,自发产生一定大小、一定频率交流信号的电路。一定频率交流信号的电路。 S S接接1 1,有有交交流流信信号号U Uo o输出;突然再改接输出;突然再改接2 2。 只只要要保保证证U Uf f= =U Ui i,仍仍有有不不变变的的交交流流信信号号V Vo o输出。输出。 .2 2自激(平衡)条件自激(平衡)条件为保证振荡平衡,必须有为保证振荡平衡,必须有U Uf f= =U Ui i 即即 F F A A=1=1 或或 FA=1 FA=1 A A+ + F F=2n=2n (n=1(n=1、2 2、3 3、). .3 3起振条件起振条件为保证振荡越来

152、越强,必须有为保证振荡越来越强,必须有U Uf fU Ui i 即即 FAFA1 1 A A+ + F F=2n=2n (n=1(n=1、2 2、3 3、)4 4正弦振荡器的构成及分类正弦振荡器的构成及分类 由由放放大大器器、正正反反馈馈网网络络、选选频频网网络络、稳稳幅幅措施等四部分构成。措施等四部分构成。 根根据据选选频频网网络络的的构构成成,正正弦弦振振荡荡器器分分为为RCRC振荡器和振荡器和LCLC振荡器两大类。振荡器两大类。 5 5能否振荡的判断能否振荡的判断(1 1)首首先先分分析析是是否否具具备备正正弦弦振振荡荡的的四四个个组组成成部部分分(选频网络和正反馈网络常由同一部分构成)

153、;(选频网络和正反馈网络常由同一部分构成); (2 2)分分析析放放大大器器能能够够正正常常放放大大工工作作(一一般般检检查查静静态态工作点);工作点); (3 3)分分析析电电路路是是否否满满足足自自激激振振荡荡条条件件(振振幅幅条条件件容容易满足,一般判断相位条件,即是否正反馈)。易满足,一般判断相位条件,即是否正反馈)。 7.2 RC7.2 RC正弦振荡器正弦振荡器 1 1RC选频网络选频网络 在在RCRC串串并并联联网网络络中中,当当=0 0 =1/RC=1/RC时时, F Fmaxmax=1/3 =1/3 且且F F=0 =0 , 其其他他频频率率信信号号的的F F显显著著变小。且变

154、小。且F F00 由此,由此,RCRC串并联网络只允许频率为串并联网络只允许频率为1/RC1/RC(或(或f f0 0=1/2RC=1/2RC)的信号相位不变地通过的信号相位不变地通过(从而实现正反馈)且传输系数最大(从而实现正反馈)且传输系数最大(1/31/3),),其他频率信号通过很小且相位发生变化(不其他频率信号通过很小且相位发生变化(不能实现正反馈)能实现正反馈)近似认为在诸多频率信近似认为在诸多频率信号中,只允许(选择)号中,只允许(选择)0 0信号通过。信号通过。 2 2RC桥式(文氏电桥)振荡器桥式(文氏电桥)振荡器 电电路路由由放放大大器器(同同相相输输入入运运放放构构成成的的

155、负负反反馈馈放放大大器器或或两两级级负负反反馈馈放放大大器器)、正正反反馈馈网网络络(RCRC串串并并联联网网络络)、选选频频网网络络(RCRC串串并并联联网网络络)、稳稳幅幅措措施施(热敏电阻(热敏电阻R Rt t)四部分构成。四部分构成。 (1 1)电路构成电路构成 f f0 0=1/2RC=1/2RC(2 2)振荡频率振荡频率调节双联电阻或双联电容即可调节振荡频率。调节双联电阻或双联电容即可调节振荡频率。 放大器的放大器的A Au u = 1+R = 1+Rt t/R/R1 1 (3 3)振荡条件振荡条件正反馈系数正反馈系数F=1/3只只要要选选取取Rt2R1,就就有有Au3,从从而而满

156、满足足起起振振条条件件FA1。 随随着着振振荡荡的的加加强强,电电流流变变大大,Rt阻阻值值变变小小,使使得得FA=1,满足平衡条件,则振荡一直稳定进行下去。满足平衡条件,则振荡一直稳定进行下去。 3 3RC移相式振荡器移相式振荡器(1 1)RC移相网络移相网络 一一级级相相移移网网络络使使信信号号相相位位变变化化009090,三三级级RCRC相相移移网网络络使使信信号号相相位位变变化化(移移动动)180(180(用用于于实实现现正反馈正反馈) )。 (2 2)RC移相式振荡器移相式振荡器7.3 LC7.3 LC正弦振荡器正弦振荡器 1 1LC并联选频网络并联选频网络选频特性:选频特性: 当当

157、=o o , Z Zmaxmax= =Z Zo o=L/RC =L/RC ,且且F F=0=0,其其他他频频率率信信号号的的Z Z显显著著变变小小,且且F F00。故故认认为为LCLC网络具有选频性网络具有选频性仅选择仅选择o o频率信号。频率信号。 2 2变压器反馈式变压器反馈式LC振荡器振荡器 由由放放大大器器、选选频频网网络络(LCLC网网络络)、正正反反馈馈网网络络(带带有有同同名名端端的的变变压压器器)和和稳稳幅幅措措施施(放大器)构成。(放大器)构成。 (1 1)电路构成电路构成(2 2)振荡频率振荡频率3 3三点式三点式LC振荡器振荡器必须有必须有X X1 1、X X2 2性质相

158、同,且性质相同,且与与X X3 3相反。此乃构成三端式相反。此乃构成三端式LCLC振荡器的原则。振荡器的原则。简记为:简记为:射同基(集)反射同基(集)反。 (1 1)构成三点式构成三点式LC振荡器的原则振荡器的原则振荡频率为:振荡频率为: (2 2)电感三端式电感三端式LC振荡器振荡器其中,其中,L=L1+L2+2M振荡频率为:振荡频率为: (3 3)电容三端式电容三端式LC振荡器振荡器其中,其中,C为为C1、C2的串联的串联7.4 7.4 非正弦波发生器非正弦波发生器 1 1矩形波发生器矩形波发生器 (1 1)矩形波发生器)矩形波发生器 矩形波周期矩形波周期T=2RClnT=2RCln(1

159、+2R1+2R1 1/R/R2 2) 占空比占空比D=1/2D=1/2 (2 2)宽度可调矩形波发生器宽度可调矩形波发生器 矩形波周期矩形波周期T=TT=T1 1+T+T2 2 T T1 1= =(R+RR+Rw w)ClnCln(1+2R1+2R1 1/R/R2 2)T T2 2= =(R+RR+Rw w)ClnCln(1+2R1+2R1 1/R/R2 2)则则T=TT=T1 1+T+T2 2= =(2R+R2R+Rw w)ClnCln(1+2R1+2R1 1/R/R2 2)占空比占空比D=TD=T1 1/T=/T=(R+RR+Rw w)/ /(2R+R2R+Rw w) 2 2三角波发生器三

160、角波发生器 U Uomom=R=R1 1U Uz z/R/R2 2 T= T= 4R4R1 1R R4 4C/RC/R2 2 3 3锯齿波发生器锯齿波发生器 U Uomom=R=R1 1U Uz z/R/R2 2 T T1 1= = 2R2R1 1R Rw wC/RC/R2 2 T T2 2= = 2R2R1 1R Rw wC/RC/R2 2 T=TT=T1 1+T+T2 2= 2R= 2R1 1R Rw wC/RC/R2 2第八章第八章 功率放大器功率放大器 功放特点、功放电路、集成功放。功放特点、功放电路、集成功放。本章主要内容:本章主要内容:返返回回前前进进8.1 8.1 功率放大器特点

161、功率放大器特点 1 1对功放的要求(功放的特点)对功放的要求(功放的特点) 功率(能量)并不能放大,功率放大器的工作过程,功率(能量)并不能放大,功率放大器的工作过程,实质上是直流功率(能量)转换为交流功率的过程。实质上是直流功率(能量)转换为交流功率的过程。 (1 1)电路输出功率尽可能大;电路输出功率尽可能大;(2 2)放大器处于大信号工作状态放大器处于大信号工作状态;(3 3)三极管要尽限使用三极管要尽限使用;(4 4)转换效率高转换效率高;(5 5)非线性失真要小非线性失真要小;(6 6)用图解法分析用图解法分析;(7 7)要解决散热问题要解决散热问题。2 2三极管几种工作状态三极管几

162、种工作状态(1 1)甲类工作状态甲类工作状态静态工作点位于放大区中央。静态工作点位于放大区中央。(2 2)乙类工作状态乙类工作状态静态工作点位于截至区边缘。静态工作点位于截至区边缘。(3 3)甲乙类工作状态甲乙类工作状态静态工作点位于放大区下端(靠近截至区)。静态工作点位于放大区下端(靠近截至区)。(4 4)丙类工作状态丙类工作状态静态工作点位于截至区内静态工作点位于截至区内。三极管的不同工作状态,决定了不同类型的功放。三极管的不同工作状态,决定了不同类型的功放。3 3甲类功率放大器甲类功率放大器 三极管工作在甲类状态,三极管工作在甲类状态,但受输出限制,功率较小。但受输出限制,功率较小。 4

163、 4乙类推挽功率放大器乙类推挽功率放大器 两只三极管交替工作,两只三极管交替工作,输出功率较大。但变压器输出功率较大。但变压器体积较大,不太实用。体积较大,不太实用。8.2 8.2 互补对称功率放大器互补对称功率放大器 1 1OTL互补对称功率放大器互补对称功率放大器(1 1)乙类对称功放原理电路乙类对称功放原理电路(2 2)图解分析图解分析T1放放大大正正半半周周信信号号如如左左图图所所示示(T2放放大大负负半半周周信信号号与与此类似此类似)。)。(3 3)参量计算参量计算最大不失真交流功率最大不失真交流功率PomUom=VCC/2-UCESVCC/2IomUom/RLPom=UomIom/

164、2=(VCC/2-UCES)2/2RL忽略忽略UCES,则有则有PomVCC2/8RL直流电源所提供功率直流电源所提供功率PV则则PV=VCC/2IC1=VCC2/2RL=4Pom/最大转换效率最大转换效率mm=Pom/PV=4/78.5%最大管耗最大管耗PTmPTm1=PTm2=0.2Pom(4 4)交越失真交越失真由由于于工工作作电电太太低低,输输出出信信号号的的交交界界处处呈呈现现交交越越失失真真,这这是是乙类功放所必然产生的。乙类功放所必然产生的。解解决决办办法法:适适当当提提高高工工作作点点,将电路变成甲乙类功放。将电路变成甲乙类功放。(5 5)甲乙类甲乙类OTL功放功放 与与乙乙类

165、类对对称称功功放放分分析析类类似似,将将乙乙类类功功放放所所有有公公式式中中的的V VCCCC替替换换成成V VCC CC /2/2即可。即可。 单电源电路。单电源电路。 与与乙乙类类对对称称功功放放分分析析类类似似,结结果完全相同。果完全相同。 2 2OCL互补对称功率放大器互补对称功率放大器双电源电路。双电源电路。8.3 8.3 集成功率放大器集成功率放大器 芯片如图所示。按管脚分布联接使用即可。芯片如图所示。按管脚分布联接使用即可。 第九章第九章 直流电源直流电源 整流、滤波、稳压。整流、滤波、稳压。本章主要内容:本章主要内容:返返回回前前进进9.1 9.1 直流稳压电源构成直流稳压电源

166、构成 交流转变位直流,要经过整流、滤波、稳压三交流转变位直流,要经过整流、滤波、稳压三个过程。一个完整的直流稳压电源应包括变压器、个过程。一个完整的直流稳压电源应包括变压器、整流电路、滤波电路和稳压电路四部分。整流电路、滤波电路和稳压电路四部分。 9.2 9.2 整整 流流 将两个方向变化的交流信号变成一个方向上变化将两个方向变化的交流信号变成一个方向上变化的脉动直流信号。利用二极管的单向导电性来实现。的脉动直流信号。利用二极管的单向导电性来实现。 1 1半波整流半波整流(1 1)电路)电路(2 2)工作情况工作情况 在输入信号在输入信号U U2 2正半周正半周,D D正偏导通,正偏导通,U

167、Uo o= =U Ui i ,在在U U2 2负半负半周,周,D D反偏截止,反偏截止,U Uo o=0 =0 。 2 2桥式全波整流桥式全波整流(1 1)电路)电路(2 2)工作情况工作情况 在在U U2 2正正半半周周,D D1 1、D D3 3正正偏偏导导通通,D D2 2、D D4 4反反偏偏截截至至,U Uo o=U=U2 2 ;在在U U2 2负负半半周周,D D1 1、D D3 3反反偏偏截截至至,D D2 2、D D4 4正正偏偏导导通,通,U Uo o=-U=-U2 2 。 (3 3)输出信号输出信号 (4 4)脉动系数脉动系数Uo=0.9U2Io=Uo/RLS=Uo1m/U

168、o=0.67(5 5)整流管的选择整流管的选择URM1.414U2IFMIo/29.3 9.3 滤滤 波波 将脉动直流信号变为较为平滑的纹波直流信号,将脉动直流信号变为较为平滑的纹波直流信号,利用电容器的充放电性质来实现。利用电容器的充放电性质来实现。 1 1几种滤波电路几种滤波电路2 2电容滤波电容滤波(1 1)电路)电路(2 2)工作情况工作情况 电容电容C C的充放电过程的充放电过程 。 如右图所示如右图所示 。 (3 3)输出信号输出信号 (4 4)滤波电容的选取滤波电容的选取Uo=1.0U2(半波整流滤波)半波整流滤波)Uo=1.2U2(全波整流滤波)全波整流滤波)C(35)T/RL

169、(半波整流滤波)半波整流滤波)C(35)T/2RL(全波整流滤波)全波整流滤波)9.4 9.4 倍倍 压压 整整 流流 倍压整流整流电路不仅可以整流,而且可以得到倍压整流整流电路不仅可以整流,而且可以得到高出变压器次级电压若干倍的电压。这要靠整流管的高出变压器次级电压若干倍的电压。这要靠整流管的单向导电性及点容器得充放电特性来达到。单向导电性及点容器得充放电特性来达到。 1 1二倍压整流二倍压整流 在在U U2 2正半周,正半周,D D1 1正偏导通,正偏导通,将电容将电容C C1 1充电至充电至1.4141.414 U U2 2;在;在V V2 2负半周,负半周,D D2 2正偏导通,将电容

170、正偏导通,将电容C C2 2充电至充电至1.414U1.414U2 2。在整个过在整个过程中,电容放电很慢,使得输程中,电容放电很慢,使得输出电压出电压V Vo o=21.414U=21.414U2 2 . . 2 2多倍压整流多倍压整流可得到不同倍数的输出电压。可得到不同倍数的输出电压。 9.5 9.5 稳稳 压压 利用稳压电路,将纹波信号变成稳定的直流信号。利用稳压电路,将纹波信号变成稳定的直流信号。 1 1并联型硅稳压管稳压电路并联型硅稳压管稳压电路(1 1)电路)电路(2 2)工作情况工作情况 UiUiUzUz(或其他原因)或其他原因)UoUo()UzUz()IzIz()I I(= =

171、Iz+IoIz+Io)()I IR R()UoUo(=V=Vi i-I-IR R)()从而从而VoVo稳定稳定 。 (3 3)输出电压输出电压 (4 4)限流电阻的选取限流电阻的选取 R (R (U Uimaximax-U-Uz z)/(I)/(Izmaxzmax+I+Iominomin) ) R ( R (U Uiminimin-U-Uz z)/(I)/(Izminzmin+I+Iomaxomax) )Vo=Vz2 2串联型晶体管稳压电路串联型晶体管稳压电路(1 1)电路及工作原理电路及工作原理 由由取取样样电电路路、基基准准电电压压、比比较较放放大大器器、调调整整管四部分构成。管四部分构成

172、。 U Uo o()U()UF F()U()Uidid()U()UBEBE()I()IB B()I()IC C()U()UCECE()U()UC C()U()Uo o(=U(=U1 1-U-UCECE)()U)()Uo o稳定稳定 稳压稳压原理原理 :(3 3)输出电压调节范围输出电压调节范围3 3集成稳压电路集成稳压电路将将调调整整管管、比比较较放放大大器器、基基准准电电源源和和取取样样电电路路集中做在一小块硅片上形成的电路。如集中做在一小块硅片上形成的电路。如CW7800CW7800。 Uomax=(R1+R2+R3)Uz/R3Uomin=(R1+R2+R3)Uz/(R2+R3) 集集成成

173、稳稳压压器器也也要要配配合合整整流流、滤波使用。滤波使用。 第二编第二编 数字部分数字部分 返返回回第十章第十章 数字电路基础数字电路基础 第十一章第十一章 逻辑代数逻辑代数 第十二章第十二章 组合逻辑电路组合逻辑电路 第十三章第十三章 触发器触发器 第十四章第十四章 时序逻辑电路时序逻辑电路 前前进进第十五章第十五章 脉冲电路脉冲电路第十六章第十六章 数模与模数转换数模与模数转换退退出出第十章第十章 数字电路基础数字电路基础 数数字字信信号号、计计数数制制、逻逻辑辑关关系系、基基本本数字电路数字电路逻辑门电路逻辑门电路本章主要内容:本章主要内容:返返回回前前进进10.1 10.1 数字电路概

174、述数字电路概述1 1模拟信号与数字信号模拟信号与数字信号 模模拟拟信信号号是是指指模模拟拟自自然然现现象象(如如温温度度、光光照照等等)而而得得出出的的电电流流或或电电压压,一一般般是是连连续续、平平滑滑变变化化的的信信号号,也也可可能能断断续续变变化化,但但任任一一时时刻刻都都有有各各种可能的取值。种可能的取值。 在在时时间间上上和和取取值值上上都都是是断断续续的的,只只有有2 2个个取取值值:高电平、低电平,分别用数字高电平、低电平,分别用数字1 1、0 0表示。表示。2 2数字电路数字电路 处处理理数数字字信信号号的的电电路路叫叫数数字字电电路路,又又叫叫逻逻辑辑电路。电路。 数数字字电

175、电路路分分为为:(逻逻辑辑)门门电电路路(数数字字电电路路基基本本单单元元)、组组合合(逻逻辑辑)电电路路、时时序序(逻逻辑辑)电路等。电路等。 3 3数字电路特点数字电路特点 抗抗干干扰扰性性强强、性性能能稳稳定定、速速度度快快、精精度度高高、易于集成、成本低等。易于集成、成本低等。10.2 10.2 数制与码制数制与码制 1 1十进制(十进制(decimalsystem) 由由十十个个基基本本数数码码0 0、1 1、2 2、3 3、4 4、5 5、6 6、7 7、8 8、9 9,任意数字均由这十个基本数码构成。,任意数字均由这十个基本数码构成。2 2二进制(二进制(binarysystem

176、) 由由两两个个基基本本数数码码0 0、1 1 ,任任意意数数字字均均由由这这两两个个基本数码构成。基本数码构成。 逢十进一、借一当十。逢十进一、借一当十。 逢二进一、借一当二。逢二进一、借一当二。 4 4十进制与二进制的互换十进制与二进制的互换(1 1)二二进制制转换为十十进制制(数码乘权相加数码乘权相加 ) 整数整数转换:转换:小数小数转换:转换:((0.0101)(0.0101)2 20202-1-1=12=12-2-2+02+02-3-3+12+12-4-4(0.3125)(0.3125)1010混合混合转换(整数部分和小数部分分整数部分和小数部分分别转换 ) (1011)(1011)

177、2 212120 0+02+021 1+12+122 2+12+123 3(11)(11)1010 (1011.0101)(1011.0101)2 2(11.3125)(11.3125)1010 (2 2)十十进制制转换为二二进制制十十进制整数制整数转为二二进制整数制整数 (1111)10 10 (10111011)2 2 十十进制小数制小数转为二二进制小数制小数 乘乘2 2取整、积为取整、积为0 0止、高位排列止、高位排列 除除2 2取余、商为取余、商为0 0止、低位排列止、低位排列 (0.110.11)10 10 (0.750.75)2 2 注意,有乘不尽的情况。如注意,有乘不尽的情况。如

178、(0.30.3)1010(0.0100110.010011)2 2 混合转换混合转换:整数部分和小数部分分别转换。整数部分和小数部分分别转换。 5 5其他进制数其他进制数(1 1)八八进制(制(octaloctal) 八个基本数八个基本数码:0 0、1 17 7, 逢八逢八进一、借一当八。一、借一当八。 (13651365)8 8(757757)1010 (16881688)1010(32303230)8 8 (2 2)十六十六进制(制(hexadecimalhexadecimal) 十六个基本数十六个基本数码:0 0、1 1 9 9、A A、B B、C C、D D、E E、F F, 逢十六逢

179、十六进一、借一当十六。一、借一当十六。 八进制数与十进制数之间的转换类似于二进制。八进制数与十进制数之间的转换类似于二进制。 十六进制数与十进制数之间的转换类似于二进制。十六进制数与十进制数之间的转换类似于二进制。 ( 1369ADF1369ADF)1616( 2035580720355807)1010 ( 966922966922)1010(BC10ABC10A)1616十六十六进制数与二制数与二进制之制之间转换方法方法 : 十六进制转为二进制十六进制转为二进制将每位十六进制数均写将每位十六进制数均写成成4 4为二进制数(不足为二进制数(不足4 4位则在前面补位则在前面补0 0)。)。 二进

180、制转为十六进制二进制转为十六进制从低位开始,每从低位开始,每4 4位位二进制数变成二进制数变成1 1位十六进制数(高位不足位十六进制数(高位不足4 4位则按实位则按实际大小转换)。际大小转换)。 (101000110001010101000110001010)2 2(518A518A)1616(A3B90A3B90)1616(1010001110111001000010100011101110010000)2 26 6码制码制(1 1)二二进制代制代码(binary codebinary code) 将某种符号(数字、字母、数学符号等)用一串将某种符号(数字、字母、数学符号等)用一串按一定规律

181、排列的二进制数码表示,这些二进制数码按一定规律排列的二进制数码表示,这些二进制数码称为二进制代码。称为二进制代码。 (2 2)几种几种BCDBCD码二二进制代制代码的十的十进制数制数码 用用4 4位二进制码表示十个十进制数码。位二进制码表示十个十进制数码。 数码数码8421码码5421码码余余3码码格雷码格雷码000000000001100001000100010110000120010001001010010300110011011001004010001000111010150101010110000110601100110100101117011101111010100081000101

182、11011100191001110011001010权权84215421(3 3)ASCIIASCII代码(代码(ASCAmerican Standard ASCAmerican Standard Code for Information Interchange Code for Information Interchange 美国标准美国标准信息交换码)信息交换码) 用用8 8位二进制数来表示位二进制数来表示256256个计算机常用符号的代码。个计算机常用符号的代码。000111100001111000000010000000100001110100011101010000000100000

183、00010011000100110$00100100$001001000011101000111010011011101 011011101 ?0011111100111111111100101111001011110001111100011111011011110110111000001110000011100001111000011110101111101011000110000000110000100110001100110001200110010200110010A01000001A01000001B01000010B01000010C01000011C01000011a0110000

184、1a01100001b01100010b01100010c01100011c011000110001100000011000000101010001010111001100 11001100 (4 4)补码)补码 补码的位数(二进制数码个数)由具体系统来规定。补码的位数(二进制数码个数)由具体系统来规定。 下面以下面以C C语言规定为例说明。语言规定为例说明。 整整数数(intint 数数integerinteger)用用1616位位二二进进制制补补码码表示。其最高位是符号位表示。其最高位是符号位整数为整数为0 0、负数为、负数为1 1。 正正数数的的补补码码二二进进制制形形式式的的原原码码(

185、十十进进制制数数化为二进制数)。如化为二进制数)。如2912729127: 0111000111000111 0111000111000111 负负数数的的补补码码绝绝对对值值的的二二进进制制形形式式,按按位位取取反反加加1 1。如如-29127-29127: 绝绝对对值值形形式式01110001110001110111000111000111,按按位位取取反反: : 10001110001110001000111000111000, 再再加加1: 1: 1000111000111001 1000111000111001 10.3 10.3 逻辑关系及逻辑门逻辑关系及逻辑门 1 1基本逻辑关系

186、基本逻辑关系 只有三种基本逻辑关系。只有三种基本逻辑关系。 (1 1)与逻辑和与门与逻辑和与门 只只有有决决定定事事件件的的全全部部条条件件都都具具备备(成成立立)时时,事件才会发生,否则时间就不会发生。事件才会发生,否则时间就不会发生。 即即条条件件全全为为1 1时时,事事件件为为1 1,否否则则(只只要要有有一一个个或一个以上条件为或一个以上条件为0 0),事件为),事件为0 0。与逻辑关系与逻辑关系 将将条条件件看看作作输输入入信信号号,事事件件结结果果看看作作输输出出信信号号,则与逻辑关系用如下电路则与逻辑关系用如下电路与门电路来实现。与门电路来实现。 与逻辑电路(与门)及与逻辑符号与

187、逻辑电路(与门)及与逻辑符号输入输出之间全部的对输入输出之间全部的对应取值。应取值。全全1 1为为1 1,否则为,否则为0: 0: 与逻辑真值表与逻辑真值表输输入入信信号号输出信号输出信号ABCY00000010010001101000101011001111Y Y = = A AB BC C = = ABC ABC 与逻辑表达式与逻辑表达式(2 2)或逻辑和或门或逻辑和或门 决决定定事事件件的的全全部部条条件件中中只只要要有有一一个个或或一一个个以以上上条条件件具具备备(成成立立)时时,事事件件就就会会发发生生,否否则则(条条件全部不具备)事件就不会发生。件全部不具备)事件就不会发生。 即即

188、只只要要有有一一个个或或一一个个以以上上条条件件为为1 1时时,事事件件为为1 1,否则(条件为全,否则(条件为全0 0),事件为),事件为0 0。 与逻辑关系与逻辑关系或逻辑电路(或门)及或逻辑符号或逻辑电路(或门)及或逻辑符号全全0 0为为0 0,否则为,否则为1 1。 或逻辑真值表或逻辑真值表输输入入信信号号输出信号输出信号ABCY00000011010101111001101111011111Y Y = = A A+ +B B+ +C C或逻辑表达式或逻辑表达式(3 3)非逻辑和非门非逻辑和非门 否否定定逻逻辑辑,条条件件满满足足时时间间不不发发生生,条条件件不不满满足足事件成立。事件

189、成立。 Y = A Y = AAY01102 2复合逻辑关系复合逻辑关系 利利用用三三种种基基本本逻逻辑辑,可可以以组组合合成成多多种种其其他他逻逻辑辑称为复合逻辑。称为复合逻辑。 (1 1)与非逻辑与非逻辑几个变量先进行与运算,再进行非运算。几个变量先进行与运算,再进行非运算。 全全1 1为为0 0,否则为,否则为1: 1: 输输入入信信号号输出信号输出信号ABCY00010011010101111001101111011110Y Y = = ABC ABC (2 2)或非逻辑或非逻辑几个变量先进行或运算,再进行非运算。几个变量先进行或运算,再进行非运算。 输输入入信信号号输出信号输出信号A

190、BCY00010010010001101000101011001110全全1 1为为0 0,否则为,否则为1: 1: Y Y = = ABC ABC (3 3)异或逻辑异或逻辑两个变量进行如图所示运算:两个变量进行如图所示运算: 2 2输入、输入、1 1输出电路。输出电路。输入相同,输出为输入相同,输出为0 0,输入相反,输出为输入相反,输出为1 1 Y Y = = ABAB = = ABAB + + AB AB A B Y A B Y 0 0 0 0 0 0 0 1 1 0 1 1 1 0 1 1 0 1 1 1 0 1 1 0(4 4)与或非逻辑与或非逻辑 两组(或多组)输入变量先分别相与

191、,与的结两组(或多组)输入变量先分别相与,与的结果再相或,最后再非。果再相或,最后再非。 Y Y = = AB+CD AB+CD (5 5)不同逻辑符号对比不同逻辑符号对比曾用符号通用符号国际符号 与 或 非 与非 或非 异或第十一章第十一章 逻辑代数逻辑代数 逻辑代数基本定律、逻辑函数化简逻辑代数基本定律、逻辑函数化简 本章主要内容:本章主要内容:返返回回前前进进11.1 11.1 逻辑函数逻辑函数 1 1逻辑变量逻辑变量 取取值值只只能能是是1 1或或0 0的的(两两值值)变变量量叫叫逻逻辑辑变变量量。分分为为输输入入变变量量(表表示示逻逻辑辑条条件件的的量量)和和输输出出变变量量(表表示

192、示逻逻辑辑结结果果的的量量)。逻逻辑辑变变量量一一般般用用大大写写字字目目表表示示,输输入入变变量量常常用用A A、B B、C C、D D、E E等等表表示示,输出变量常用输出变量常用Y Y、L L、Z Z表示。表示。 2 2逻辑函数逻辑函数逻辑函数即输入变量和输出变量之间的逻辑关系逻辑函数即输入变量和输出变量之间的逻辑关系. . 不同的逻辑关系叫做不同的逻辑函数。不同的逻辑关系叫做不同的逻辑函数。3 3逻辑函数的表示方法逻辑函数的表示方法(1 1)逻辑式逻辑式 (2 2)真值表真值表 (3 3)逻辑图:用各种逻辑符号联接而成的电路图。逻辑图:用各种逻辑符号联接而成的电路图。 (4 4)卡诺图

193、:卡诺(美卡诺图:卡诺(美)所发明的方格图。所发明的方格图。 4 4函数各种表示方法之间的转换函数各种表示方法之间的转换(1 1)表达式)表达式 真值表真值表 方方法法将将输输入入全全部部取取值值代代入入表表达达式式,求求出出输输出出,填入表格。填入表格。 (2 2)真值表真值表 表达式表达式 方法方法输出为输出为1 1的全部输入量的组合与项相或。的全部输入量的组合与项相或。 输入组合与项写法输入组合与项写法输入为输入为1 1,写成原变量形式;,写成原变量形式;输入为输入为0 0,写成反(非)变量形式。然后将这些单变量,写成反(非)变量形式。然后将这些单变量相与。相与。 (3 3)逻辑图 表达

194、式表达式 方法方法自输入端开始,依次写出每个门的输出。自输入端开始,依次写出每个门的输出。 (4 4)表达式表达式 逻辑图逻辑图 方方法法根根据据表表达达式式的的逻逻辑辑关关系系,选选择择相相应应的的门门,再将他们联接成电路。再将他们联接成电路。 11.2 11.2 逻辑代数逻辑代数 1 1基本规律基本规律(1 1)0101律律 A0=0A0=0A+1=1A+1=1A+0=AA+0=AA1=AA1=A(2 2)重叠律)重叠律 AA=AAA=AA+A=A A+A=A (3 3)互补律)互补律 AA=0AA=0A+A=1 A+A=1 (4 4)非非律非非律 A=AA=A(5 5)交换律)交换律 A

195、B=BAAB=BAA+B=B+AA+B=B+A (6 6)结合律)结合律 A A(BCBC)= =(ABAB)C CA+(B+C)=(A+B)+CA+(B+C)=(A+B)+C(7 7)分配律)分配律 A(B+C)=AA(B+C)=A B+AB+A C C(A+B)(A+C)=A+BC(A+B)(A+C)=A+BC (8 8)吸收律)吸收律 A A + + A A B B = = A AA A (A(A + + B B )=)= A A(9 9)反演律(摩根定律)反演律(摩根定律) A A B = AB = A + + B BA A + + B = AB = A B B2 2常用公式常用公式(

196、3 3)A B + A C + B C = A B + A CA B + A C + B C = A B + A C(1 1)A B + A B = AA B + A B = A (2 2)A + A B = A + BA + A B = A + B (4 4)A B + A C + B C D = A B + A CA B + A C + B C D = A B + A C 3 3基本规则基本规则(1 1)代入规则:将逻辑等式中某一变量用任意函)代入规则:将逻辑等式中某一变量用任意函 数式替代,等式仍成立。数式替代,等式仍成立。 (2 2)反演规则:对于任一函数式)反演规则:对于任一函数式Y

197、 Y,将其中的与号将其中的与号 换成或号、或号换成与号,原变量换成非变换成或号、或号换成与号,原变量换成非变 量、非变量换成原变量,量、非变量换成原变量,1 1换成换成0 0、0 0换成换成1 1。由。由 此得到的是原函数的反函数(非函数)此得到的是原函数的反函数(非函数) (3 3)对耦规则:将函数)对耦规则:将函数Y Y中的与号换成或号、或号中的与号换成或号、或号 换成与号,换成与号,1 1换成换成0 0、0 0换成换成1 1。由此得到的是。由此得到的是 原函数式的对耦式(对耦函数)原函数式的对耦式(对耦函数) 1 1逻辑式的代数法化简逻辑式的代数法化简 利利用用逻逻辑辑代代数数重重的的定

198、定律律、公公式式进进行行化化简简。最最多多使使用用的的是是利利用用A+A=1A+A=1将将两两项项合合并并为为一一项项。必必要要是是利利用用摩摩根根定律将长非号变成短非号。有时利用定律将长非号变成短非号。有时利用A+A=AA+A=A补项。补项。 2 2逻辑函数的卡诺图化简法逻辑函数的卡诺图化简法 将将逻逻辑辑式式转转变变为为卡卡诺诺图图,然然后后进进行行化化简简,最最后后再再转转变成简单的逻辑式。变成简单的逻辑式。 11.3 11.3 逻辑函数化简逻辑函数化简 (1 1)逻辑函数的最小项逻辑函数的最小项 在在多多变变量量函函数数的的某某项项中中,所所有有变变量量以以原原变变量量或或非非变变量量

199、的的形形式式出出现现,且且仅仅出出现现一一次次,则则该该项项称称为为逻逻辑辑函函数数的最小项。的最小项。 n n变量函数有变量函数有2 2n n个最小项。个最小项。 最小项四种表示方式(以三变量函数最小项为例):最小项四种表示方式(以三变量函数最小项为例): 字母形式字母形式 二进制形式二进制形式 十进制形式十进制形式 编号形式编号形式 A B C 0 0 0 0 mA B C 0 0 0 0 m0 0 A B C 1 0 1 5 m A B C 1 0 1 5 m5 5任意两个最小项之积等于任意两个最小项之积等于0 0。全部最小项之和等于。全部最小项之和等于1 1。 任意函数均可写成最小项之

200、和的形式。任意函数均可写成最小项之和的形式。 如:如: Y = A B C + A B C + A B C Y = A B C + A B C + A B C = 001 + 011 + 110 = 1 + 3 + 6 = 001 + 011 + 110 = 1 + 3 + 6 = (1 = (1,3 3,6)= m6)= m1 1 + m + m3 3 + m + m6 6非非最最小小项项化化成成最最小小项项 。方方法法是是:假假设设某某项项缺缺少少X X、Y Y、Z Z、,就就将将该该项项乘乘上上(X (X + + X)(Y X)(Y + + Y)(Z Y)(Z + + Z)Z),乘乘开整

201、理即可。开整理即可。(2 2)卡诺图卡诺图 卡卡诺诺图图是是一一种种填填有有函函数数最最小小项项的的方方格格图图,n n变变量量卡卡诺诺图图具具有有2 2n n个个填填有有函函数数最最小小项项的的方方格格,方方格格中中的的最最小小项项必必须须满满足足相相邻邻原原则则:相相邻邻方方格格中中的的最最小小项项,只只有有一一个变量互为反变量。个变量互为反变量。 规定同一行或同一列两端方格是相邻项。规定同一行或同一列两端方格是相邻项。 几种卡诺图几种卡诺图:三变量卡诺图三变量卡诺图 四变量卡诺图四变量卡诺图 (3 3)逻辑函数)逻辑函数卡诺图卡诺图 首首先先将将逻逻辑辑式式写写成成最最小小项项形形式式,

202、然然后后在在卡卡诺诺图图中中和和这这些些最最小小项项对对应应方方格格中中填填1 1,其其余余方方格格中中填填0 0或或空空方方格不填。由此得到逻辑函数的卡诺图表示形式。格不填。由此得到逻辑函数的卡诺图表示形式。 (4 4)逻辑函数的卡诺图化简逻辑函数的卡诺图化简 将逻辑式化成最小项形式;将逻辑式化成最小项形式; 化出其卡诺图;化出其卡诺图; 画圈圈画圈圈2 2n n个相邻个相邻1 1方格;方格; 所所有有1 1方方格格必必须须分分别别用用不不同同的的圈圈圈圈住住,包包括括单单个个独立的独立的1 1方格。;方格。; 每每个个圈圈尽尽可可能能大大,圈圈中中可可包包括括已已用用过过的的1 1方方格格

203、,但至少要有但至少要有1 1个新的个新的1 1方格;方格; 每每个个圈圈代代表表化化简简后后的的1 1项项,其其中中要要消消去去该该圈圈中中数数值值发发生生变变化化的的变变量量(2 2n n个个相相邻邻1 1方方格格圈圈要要消消去去n n个个变变量量),剩余变量相乘即为该化简项;,剩余变量相乘即为该化简项; 诸化简项相加既是化简后的表达式。诸化简项相加既是化简后的表达式。 卡诺图化简举例卡诺图化简举例例例1 Y1 Y(A A,B B,C C)= A B C + A B C + A B C + A B C = A B C + A B C + A B C + A B C 卡诺图如右,化简结果为:卡

204、诺图如右,化简结果为:Y=AB+BC+AC例例2 Y2 Y(A,B,C,DA,B,C,D)= = (0,1,2,3,5,7,8,9,10,11,13,150,1,2,3,5,7,8,9,10,11,13,15) 卡诺图如右,化简结果为:卡诺图如右,化简结果为:Y=B+D(5 5)具有无关项函数的化简具有无关项函数的化简 在在函函数数中中,有有些些项项可可有有可可无无,并并不不影影响响函函数数值值,称称他们为无关项。他们为无关项。 在卡诺图中,用符号在卡诺图中,用符号表示无关项。化简时,将表示无关项。化简时,将它们当作它们当作1 1方格对待,可使结果更为简单。方格对待,可使结果更为简单。 例例2

205、 Y(A,B,C,D)=(32 Y(A,B,C,D)=(3,5 5,7),7),无关项无关项d d(10,11,12,13,14,15) (10,11,12,13,14,15) 利用无关项利用无关项Y=BD+CD不利用无关项不利用无关项Y=ABD+BCD第十二章第十二章 组合逻辑电路组合逻辑电路 组合逻辑电路的分析、设计组合逻辑电路的分析、设计 本章主要内容:本章主要内容:返返回回前前进进12.1 12.1 组合逻辑电路的设计组合逻辑电路的设计1 1设计步骤设计步骤(1 1)根据逻辑要求列出真值表;)根据逻辑要求列出真值表; (2 2)由真值表写出表达式;)由真值表写出表达式; (3 3)化简

206、表达式(一般用卡诺图法化简);)化简表达式(一般用卡诺图法化简); (4 4)得到逻辑图。)得到逻辑图。2 2设计举例设计举例 某某产产品品有有A A、B B、C C、D D四四种种指指标标,其其中中A A为为主主指指标标。当当包包含含A A在在内内的的三三项项指指标标合合格格时时,产产品品属属正正品品,否否则则为为废废品品。设设计计产产品质量检验器(用与非门实现)品质量检验器(用与非门实现). . 用用Y Y表表示示产产品品。A A、B B、C C、D D为为1 1时时表表示示合合格格,为为0 0表示不合格。表示不合格。 真值表如右:真值表如右: ABCDY00000000100010000

207、110010000101001100011101000010010101001011111000110111110111111用卡诺图化简用卡诺图化简 Y = ABD + ACD + ABC Y = ABD + ACD + ABC 化成与非形式:化成与非形式: Y = ABD ACD ABCY = ABD ACD ABC作逻辑电路图:作逻辑电路图: 12.2 12.2 编码器和译码器编码器和译码器1 1编码器(编码器(Coder) 将将数数字字、字字母母、符符号号等等转转换换为为二二进进制制代代码码的的电电路路。本节以十进制数码本节以十进制数码84218421编码器为例。编码器为例。 电电路路

208、构构成成设设想想:电电路路由由十十个个输输入入端端(分分别别代代表表十十个个十十进进制制数数码码)、四四个个输输出出端端(分分别别到到表表四四位位84218421码码)构构成成。正正常常工工作作时时,只只能能有有一一个个输输入入端端输输入入信信号号(低低电电平平),其其余余输输入入端端均均无无信信号号(均均为为高高电电平平),每次输入都对应一组输出代码。每次输入都对应一组输出代码。 设设输输入入端端为为S S0 0,S,S1 1,S,S2 2,S,S3 3,S,S4 4,S,S5 5,S,S6 6,S,S7 7,S,S8 8,S,S9 9 , ,输输出出端端为为D,C,B,AD,C,B,A,控

209、控制制标标志志端端S(S=1S(S=1编编码码、S=0S=0不不编编码码) ),则真值表如下:则真值表如下: S9S8S7S6S5S4S3S2S1S0DCBAS1111111111000000111111111000001111111111010001121111111011001013111111011100111411111011110100151111011111010116111001111101101711011111110111181011111111100019011111111110011 求出表达式后,得到如下电路:求出表达式后,得到如下电路: 集成集成编码器(以编码器(以7

210、4147为例为例) 1 1、2 2、3 3、4 4、1111、1212、1313为为数数码码输输入入端端(低低电电平平有有效效),6 6、7 7、9 9脚脚为为编编码码输输出出端。端。5 5、1414、1515为控制端。为控制端。 2 2译码器(译码器(Encoder) 将编码变成原始符号并显示出来的电路。将编码变成原始符号并显示出来的电路。 (1 1)显显示示系系统统:真真空空数数码码管管、荧荧光光数数码码管管、七七段段数数码管、点阵显示等。码管、点阵显示等。 (2 2)七段数码管)七段数码管由由7 7个发光二极管构成,靠控制各段发光来显示数码。个发光二极管构成,靠控制各段发光来显示数码。7

211、 7个发光二极管有共阴、共阳两种解法。个发光二极管有共阴、共阳两种解法。(3 3)8421BCD8421BCD码七段数码显示译码器真值表码七段数码显示译码器真值表 (4 4)8421BCD8421BCD码七段数七段数码显示示译码器表达式器表达式 (5 5)8421BCD8421BCD码七段数码显示译码器电路图码七段数码显示译码器电路图 集成集成译码器(以译码器(以74138为例为例) C C、B B、A A为为编编码码输输入入端端,0 0、1 1、2 2、3 3、4 4、5 5、6 6、7 7为为译译码码输输出出端端(根根据据CBACBA的的不不同同,某某一一输输出出端端为为低低电电平平),G

212、AGA、GBGB、G1G1为控制端。为控制端。 12.3 12.3 加法器加法器1 1半加器半加器 只只考考虑虑加加数数,不不考考虑虑来来自自低低位位进进位位的的一一位位二二进进制制数加法电路。数加法电路。 (1 1)真值表)真值表(2 2)表达式)表达式(3 3)逻辑图 F Fi i = A = Ai iBBi i COCOi i = A = Ai i B Bi i 2 2全加器全加器 不仅考虑加数,还考虑了来自低位的进位。不仅考虑加数,还考虑了来自低位的进位。 (1 1)真值表)真值表(2 2)表达式)表达式(3 3)逻辑图 F Fi i= = A Ai iBBi iCCi i COCOi

213、 i = A = Ai i B Bi i 3 3多位加法器多位加法器 由多个全加器连接而成。由多个全加器连接而成。 下图为下图为4 4位加法器位加法器4 44位集成加发器位集成加发器 利用利用4 4位加法器实现位加法器实现84218421码和余码和余3 3码的互相转换码的互相转换 余余3 3码码比比84218421码码多多3 3,只只要要在在84218421码码上上加加上上00110011即即是是余余3 3码码。而而余余3 3码码减减去去3 3既既是是84218421码码。实实际际是是加加上上-3-3,即加上,即加上-3-3的补码的补码11011101。 12.4 12.4 数据选择器(数据选

214、择器(MUXMUX) 数数据据选选择择器器是是多多输输入入、单单输输出出电电路路,即即同同时时有有多多个个数数据据输输入入,而而电电路路只只选选择择其其中中一一个个数数据据输输出出。其其中中,有有2 2n n个个数数据据输输入入,选选择择控控制制端端应应有有n n个个(n n位位)。数数据据输输出出只只能能有有一一个个。以以8 8选选1 1 MUXMUX为例。为例。 1 1集成集成 8 8选选1 MUX1 MUX 其其中中,E=0E=0工工作作、E=1E=1不不工工作作;D D0 0DD7 7为为数数据据输输入入端端、CBACBA为选择控制端。为选择控制端。或或 Y=mY=m0 0D D0 0

215、+ m+ m1 1D D1 1+ m+ m2 2D D2 2+ m+ m3 3D D3 3+ m+ m4 4D D4 4+ m+ m5 5D D5 5+ m+ m6 6D D6 6 2 28 8选选1MUX1MUX逻辑图逻辑图3 3用用MUXMUX构成构成逻辑函数函数 由由Y Y = = (m mi iD Di i)看看出出,适适当当控控制制D Di i=1=1或或0 0,可可得得到到由若干最小项组成的逻辑函数。由若干最小项组成的逻辑函数。 例:例:Y = A B + A B + CY = A B + A B + C化成最小项形式化成最小项形式Y=(0,1,3,5,6,7)Y=(0,1,3,5

216、,6,7)使使D D2 2=D=D4 4=0 =0 、D D0 0=D=D1 1=D=D3 3=D=D5 5=D=D6 6=D=D7 7=1 =1 ,则则Y =Y =(0 0,1 1,3 3,5 5,6 6,7 7) 12.5 12.5 数值比较器数值比较器 1 1一位数值比较器一位数值比较器(1 1)真值表)真值表输输入入输输出出ABFABFABFAB00001010101010011001(2 2)表达式)表达式 (3 3)逻辑电路逻辑电路 F FA AB B = A B = A B F FA AB B = A B = A B F FA AB B = A B + A B = A B + A

217、 B 2 2集成数集成数值比比较器器 其中其中,A A3 3A A2 2A A1 1A A0 0、 B B3 3B B2 2B B1 1B B0 0分别为四位二进制数。分别为四位二进制数。利用利用2 2个个4 4位比较器可构成位比较器可构成1 1个个8 8位比较器。位比较器。 3 3比比较器的器的扩展展 其中其中, A A7 7A A6 6A A5 5A A4 4A A3 3A A2 2A A1 1A A0 0、 B B7 7B B6 6B B5 5B B4 4B B3 3B B2 2B B1 1B B0 0分分别为八位二进制数。别为八位二进制数。第十三章第十三章 触发器触发器 各种触发器电路

218、、符号及逻辑关系各种触发器电路、符号及逻辑关系 本章主要内容:本章主要内容:返返回回前前进进13.1 13.1 基本基本RSRS触发器触发器 1 1电路电路 由两只与非门构成。电路及逻辑符号如图由两只与非门构成。电路及逻辑符号如图 这种电路任一时刻的输出仅与当时的输入有关。这种电路任一时刻的输出仅与当时的输入有关。 2 2逻辑功能(工作情况)逻辑功能(工作情况) 由由表表达达式式及及电电路路均均可可看看出出,无无论论触触发发器器原原来处于什么状态,现在立即有来处于什么状态,现在立即有: : (1)R=1,S=0Q=1,Q=01态态(2)R=0,S=1Q=0,Q=10态态(3)R=1,S=1保持

219、原状态不变。保持原状态不变。(4)R=0,S=0禁止输入!逻辑混乱。禁止输入!逻辑混乱。3 3工作状态表及简单工作表工作状态表及简单工作表RSQnQn+1说说明明0100置置00110置置01001置置11011置置11100不不变变1111不不变变000禁禁止止001禁禁止止RS状状态态01010111不不变变11禁禁止止4 4工作波形举例工作波形举例5 5电路特点电路特点 电路简单,但状态不易控制,变化无电路简单,但状态不易控制,变化无规律,还存在状态不定情况。规律,还存在状态不定情况。 13.1 13.1 基本基本RSRS触发器触发器 1 1电路电路 由两只与非门构成。电路及逻辑符号如图

220、由两只与非门构成。电路及逻辑符号如图 2 2逻辑功能(工作情况)逻辑功能(工作情况) 由由表表达达式式及及电电路路均均可可看看出出,无无论论触触发发器器原原来处于什么状态,现在立即有来处于什么状态,现在立即有: : (1)R=1,S=0Q=1,Q=01态态(2)R=0,S=1Q=0,Q=10态态(3)R=1,S=1保持原状态不变。保持原状态不变。(4)R=0,S=0禁止输入!逻辑混乱。禁止输入!逻辑混乱。3 3工作状态表及简单工作表工作状态表及简单工作表RSQnQn+1说说明明0100置置00110置置01001置置11011置置11100不不变变1111不不变变000禁禁止止001禁禁止止R

221、S状状态态01010111不不变变00禁禁止止4 4工作波形举例工作波形举例5 5电路特点电路特点 电路简单,但状态不易控制,变化无电路简单,但状态不易控制,变化无规律,还存在状态不定情况。规律,还存在状态不定情况。 13.2 13.2 同步同步RSRS触发器触发器 1 1电路电路 由两只与非门构成。电路及逻辑符号如图由两只与非门构成。电路及逻辑符号如图 触发器状态受时钟脉冲信号触发器状态受时钟脉冲信号CPCP控制,变化有规律。控制,变化有规律。 2 2逻辑功能(工作情况)逻辑功能(工作情况)(1 1)CP=0CP=0,则则 Q= Q= 1 Q= Q= 1 ,状态状态Q Q不变。不变。 (2

222、2)CP=1CP=1,状状态可能可能变化。是否化。是否变化由化由R R、S S决定。决定。 SnRnQnQn+1说说明明0100置置00110置置01001置置11011置置10000不不变变0011不不变变110禁禁止止111禁禁止止RS状状态态01110000不不变变11禁禁止止3 3特征方程特征方程特征方程:特征方程:Q Qn+1 n+1 = = S Sn n + + R Rn nQ Qn n 约束条件:约束条件:S Sn nR Rn n = 0 = 0 4 4工作波形工作波形举例例 5 5状态状态空翻空翻 空翻现象应避免。空翻现象应避免。 6 6电路特点路特点 电路较简单,状态易控制,

223、变化有规律,但存在电路较简单,状态易控制,变化有规律,但存在空翻现象及状态不定情况。空翻现象及状态不定情况。 13.3 13.3 主从主从RSRS触发器触发器 1 1电路电路 利利用用两两个个同同步步RSRS触触发发器器,一一个个作作为为主主触触发发器器,另另一一个作为从触发器。个作为从触发器。 CP=1CP=1期间,主触发器可期间,主触发器可以触发翻转,有确定的状以触发翻转,有确定的状态。从触发器保持状态不态。从触发器保持状态不变。从而触发器状态不变。变。从而触发器状态不变。不存在空翻问题。不存在空翻问题。 2 2逻辑功能(工作情况)逻辑功能(工作情况)(1 1)在)在CPCP由由0 0到到

224、1 1时刻(时刻(CPCP后沿),从触发器可以后沿),从触发器可以触发翻转,状态由此时主触发器状态(即此时的触发翻转,状态由此时主触发器状态(即此时的R R、S S)决定决定, ,逻辑状态与同步逻辑状态与同步RSRS触发器相同。触发器相同。 (2 2)CP=0CP=0期间,主触发器保持状态不变,从而触期间,主触发器保持状态不变,从而触发器也保持状态不变。也不存在空翻问题。发器也保持状态不变。也不存在空翻问题。 3 3带预置端主从带预置端主从RS触发器触发器3 3带预置端主从带预置端主从RS触发器触发器置置0 0端端R Rd d和置和置1 1端端S Sd d,用于设置触发器的初始状态。用于设置触

225、发器的初始状态。 (1 1)置置0 0:Rd d = 0 , = 0 ,S Sd d = 1 = 1 (利用低脉冲预置)利用低脉冲预置)(2 2)置置1 1:Rd d = 1 , = 1 ,S Sd d = 0 = 0 (利用低脉冲预置)利用低脉冲预置) 初始态置好后,预置端均应保持高电平初始态置好后,预置端均应保持高电平,否则否则触发器一直处于预置的触发器一直处于预置的1 1态或态或0 0态而不能触发工作。态而不能触发工作。 但仍存在状态不定的问题。但仍存在状态不定的问题。 13.4 13.4 主从主从JKJK触发器触发器2 2逻辑功能(工作情况)逻辑功能(工作情况) CP=0CP=0状态不

226、变,状态不变,CP=1CP=1状态翻转(由此时状态翻转(由此时J J、K K决定)。电路属后沿触发。决定)。电路属后沿触发。 JK状状态态01010100不不变变11翻翻转转解决了状态不定现象。解决了状态不定现象。也有前沿翻转的也有前沿翻转的JKJK触发器。触发器。 3 3工作波形举例工作波形举例也有前沿翻转的也有前沿翻转的JKJK触发器。触发器。 13.5 13.5 主从主从D D触发器触发器 属前沿触发工作方式。属前沿触发工作方式。 D状状态态001113.6 T13.6 T触发器触发器有前沿、后沿两种触发工作方式。有前沿、后沿两种触发工作方式。 D状状态态0不不变变1翻翻转转13.7 1

227、3.7 几种触发器的转换几种触发器的转换1 1. . JK JK触发器转成触发器转成D D触发器触发器 1 1. . JKJK触触发器器转成成T T触触发器器 第十四章第十四章 时序逻辑电路时序逻辑电路 寄存器、计数器等寄存器、计数器等 本章主要内容:本章主要内容:返返回回前前进进14.1 14.1 寄存器(寄存器(RegisterRegister) 用用来来存存放放数数据据。是是计计算算机机和和其其他他数数字字系系统统中中用用来存放代码或数据的部件。来存放代码或数据的部件。 这这种种电电路路任任一一时时刻刻的的输输出出不不仅仅与与当当时时的的输输入入有有关,还与电路的初始状态有关。关,还与电

228、路的初始状态有关。 触触发发器器可可存存放放1 1位位二二进进制制数数,寄寄存存器器则则是是将将多多个个触触发发器器联联接接起起来来,以以存存放放多多位位二二进进制制数数据据。因因为为计计算算机机等等存存储储器器内内部部存存储储的的都都是是一一系系列列二二进进制制数数实为各种符号(如字母、数字、汉字等)的代码。实为各种符号(如字母、数字、汉字等)的代码。 1 1寄存器的分类寄存器的分类 根根据据工工作作情情况况,分分为为数数码码寄寄存存器器和和移移位位寄寄存存器器两两大大类类。前前者者写写入入数数据据时时多多位位数数据据同同时时存存入入寄寄存存器器,而而后后者则可以一位一位存入,且数据可以左右

229、移动。者则可以一位一位存入,且数据可以左右移动。 寄寄存存器器工工作作时时,数数据据可可以以串串行行写写入入(输输入入)/ /并并行行写写入入,串串行行读读出出(输输出出)/ /并并行行读读出出。因因此此,寄寄存存器器有有并并行行输输入入并并行行输输出出、并并行行输输入入串串行行输输出出、串串行行输输入入并并行行输输出出、串串行行输输入入串串行行输输出出四四种种等等工工作作方式。方式。 2 2数码寄存器数码寄存器 四四位位数数码码寄寄存存器器由由四四个个D D触触发发器器构构成成(也也可可由由其其他他触发器构成)。触发器构成)。 待待存存数数据据自自A A3 3 A A2 2 A A1 1 A

230、 A0 0 端端写写入入,寄寄存存控控制制端端的的高高脉脉冲控制寄存器完成寄存工作冲控制寄存器完成寄存工作单拍寄存。单拍寄存。 新数据寄存时。无论原寄存器中是否存有数据,新新数据寄存时。无论原寄存器中是否存有数据,新数据均将其冲走。数据均将其冲走。A A3 3 A A2 2 A A1 1 A A0 0撤去后,数据仍存储在撤去后,数据仍存储在寄存器中,可由寄存器中,可由Q Q3 3Q Q2 2Q Q1 1Q Q0 0端读出所存储的数据。端读出所存储的数据。 这种工作方式属于并行输入这种工作方式属于并行输入并行输出方式。并行输出方式。 4 4位集成寄存器位集成寄存器74LS17574LS175如图

231、所示:如图所示: 3 3移位寄存器移位寄存器 数据采用串行输入,用数据采用串行输入,用4 4拍来寄存。拍来寄存。 (1 1)左移寄存器左移寄存器 首先清零。首先清零。 4 4位位待待存存数数据据由由“串串行行输输入入”端端分分别别做做4 4次次单单数数据据输输入入,每每次次输输入入进进行行一一次次寄寄存存(共共来来4 4个个高高脉脉冲冲),则则该该数数据据向向左左移移动动。共共进进行行4 4次次移移位位寄寄存存(数数据据向向左左移移动动4 4次),完成次),完成4 4位数据的寄存。位数据的寄存。 工作波形图:工作波形图: 读读数数时时可可采采取取并并行行输输出出及及串串行行输输出出两两种方式。

232、种方式。 (2 2)右移寄存器右移寄存器 将左移寄存器反过来联接即可。将左移寄存器反过来联接即可。 读数时同样可采取并行输出及串行输出两种方式。读数时同样可采取并行输出及串行输出两种方式。 (3 3)双向移位寄存器(可逆移位寄存器)双向移位寄存器(可逆移位寄存器)可方便地进行左移、右移及数码寄存(不移)工作。可方便地进行左移、右移及数码寄存(不移)工作。 S=0S=0,为左移寄存方式,为左移寄存方式,S=1S=1,为右移寄存方式为右移寄存方式 。 (4 4)循环寄存器)循环寄存器 有有时时要要求求在在移移位位过过程程中中数数据据不不要要丢丢失失,仍仍然然保保持持在在寄寄存存器器中中,这这只只需

233、需将将移移位位寄寄存存器器最最高高位位的的输输出出与与最最低位的输入连起来即可,由此构成循环寄存器。低位的输入连起来即可,由此构成循环寄存器。 利用利用4 4位寄存器构成位寄存器构成8 8位、位、1616为、为、3232位寄存器。位寄存器。 (4 4)寄存器有关问题)寄存器有关问题 利利用用移移位位寄寄存存器器还还可可实实现现二二进进制制数数的的乘乘除除法法运运算算:左左移移一一次次就就对对所所存存储储数数进进行行了了一一次次乘乘2 2运运算算;右右移移一一次就对所存储数进行了一次除次就对所存储数进行了一次除2 2运算;运算; 计算机存储单元计算机存储单元 英文字母等英文字母等256256个常

234、用字符,每个字符占用个常用字符,每个字符占用1B1B(1 1个存储单元个存储单元88位寄存器),位寄存器),1 1个汉字占用个汉字占用2B2B。 8 8位寄存器是各种计算机存储单元的一个基本单位寄存器是各种计算机存储单元的一个基本单位位字节字节bytebyte,也叫也叫1 1个基本存储单元。还有较个基本存储单元。还有较大存储单大存储单 1byte=8bit 1K(Kilo)=1024byte1byte=8bit 1K(Kilo)=1024byte 1M(Million)=1024K 1G(Giga)=1024M 1M(Million)=1024K 1G(Giga)=1024M 注:注: 102

235、4=21024=21010 计算机内部运算计算机内部运算 计算机中的加、减、乘、计算机中的加、减、乘、除等运算都是利用寄存器、除等运算都是利用寄存器、加法器等进行的。如示意加法器等进行的。如示意图。其中,减法实际上是图。其中,减法实际上是补码相加,而乘法则是多补码相加,而乘法则是多次相加,除法则是多次相次相加,除法则是多次相减。减。 14.2 14.2 计数器计数器 计数器是可以记录输入时钟脉冲的个数的电路。计数器是可以记录输入时钟脉冲的个数的电路。 计计数数器器不不仅仅可可以以计计数数,还还可可以以计计时时、分分频频等等。几几乎乎在所有数字电路中都要用到。在所有数字电路中都要用到。 计数器由

236、若干个触发器(多为计数器由若干个触发器(多为JKJK触发器)构成。触发器)构成。 1 1计数器分类计数器分类 (1 1)按工作方式来分)按工作方式来分同步计数器:同步计数器:所有触发器在时钟脉冲作用下同时所有触发器在时钟脉冲作用下同时 (同步)工作。(同步)工作。异步计数器:异步计数器:所有触发器在时钟脉冲作用下不同所有触发器在时钟脉冲作用下不同 时(异步)工作。时(异步)工作。 (2 2)按计数增减来分按计数增减来分 加(法)计数器:加(法)计数器:计数逐渐递增。计数逐渐递增。减(法)计数器:减(法)计数器:计数逐渐递减。计数逐渐递减。 (3 3)按计数进制来分按计数进制来分 二进制计数器、

237、十进制计数器、其他进制计数器。二进制计数器、十进制计数器、其他进制计数器。2 2异步二进制计数器(模异步二进制计数器(模2n) (1 1)异步二进制加法计数器异步二进制加法计数器 工作情况:工作情况: J J0 0=K=K0 0=1=1、CPCP0 0=N=N,J J1 1=K=K1 1=1=1、CPCP1 1=Q=Q0 0,J J2 2=K=K2 2=1=1、CPCP2 2=Q=Q1 1 工作时首先必须清零。工作时首先必须清零。 由由于于J Ji i= =K Ki i=1=1,FFFFi i的的状状态态在在CPCPi i的的每每一一个个后后沿沿均均翻翻转转。首首先先FFFF0 0工工作作,之

238、之后后FFFF0 0引引起起FFFF1 1工工作作,再再之之后后FFFF1 1引引起起FFFF2 2工工作作,最最后后FFFF2 2引引起起FFFF3 3工工作作。属属异异步步工工作方式。作方式。 工作波形图及工作状态表工作波形图及工作状态表0000100120103011410051016110711180009001NQ2Q1Q0 该计数器只能计该计数器只能计8 8(2 2 3 3)个数()个数(0707),), 且计数按加法进行。且计数按加法进行。属模属模2 2n n计数器(模计数器(模8 8)。)。 (2 2)异步二进制减法计数器异步二进制减法计数器 工作情况工作情况( (工作时首先必

239、须清零工作时首先必须清零) )工工作作波波形形图图及及工工作作状状态态表表J J0 0=K=K0 0=1,CP=1,CP0 0=N=NJ J1 1=K=K1 1=1,CP=1,CP1 1=Q=Q0 0J J2 2=K=K2 2=1,CP=1,CP2 2=Q=Q1 1 0000111121103101410050116010700180009111NQ2Q1Q0 该计数器只能计该计数器只能计8 8个数(模个数(模2 2n n ),),且计数且计数按减法进行。按减法进行。 (3 3)异步加减可逆计数器异步加减可逆计数器 控制端控制端X=0X=0为减法计数、为减法计数、X=1X=1为加法计数。为加法

240、计数。 3 3同步模同步模2n计数器计数器 (1 1)同步模同步模8加法计数器加法计数器J0=K0=1,CP0=N,J1=K1=Q0 , CP1=N ,J2=K2=Q0Q1,CP2=N,由由于于CP0=CP1=CP2=CP3=N,则则在在计计数数脉脉冲冲N后后沿沿,所所有有触触发发器器状状态态均均可可能能翻翻转转,但但FF0每每次次都都要要翻翻转转,FF1、FF2翻翻转转的的条条件件是是其其所所有有低低位位触触发发器器的的状状态态均均位位1态态(Q=1),),使得使得Ji=Ki=1。 (1 1)同步模同步模8加法计数器加法计数器工作波形图及计数状态表工作波形图及计数状态表 0000100120

241、103011410051016110711180009001NQ2Q1Q0 (3 3)同步加减可逆计数器同步加减可逆计数器0000111121103101410050116010700180009111NQ2Q1Q0 (2 2)同步模同步模8减法计数器减法计数器X=1X=1,为加法计数器,为加法计数器,X=0X=0,为减法计数器。为减法计数器。 4 4模非模非2n计数器计数器该计数器为同步模非该计数器为同步模非2 2n n (模模5 5)加法计数器。)加法计数器。 NQ2Q1Q00000100120103011410050005 5十进制计数器十进制计数器 也也属属于于模模非非2 2n n 计

242、计数数器器, ,不不过过所所计计数数是是1010个个8421BCD8421BCD码码故称为十进制计数器。故称为十进制计数器。 (1 1)同步)同步十进制加计数器十进制加计数器J0=K0=1J1=Q0Q3K1=Q0J2=K2=Q0Q1J3=Q0Q1Q2K3=Q0CP0=CP1=CP2=CP3=N 那那么么,FFFF0 0在在N N后后沿沿每每次次都都翻翻转转,FFFF1 1在在N N的的后后沿沿受受Q Q0 0Q Q3 3 、Q Q0 0控控制制,FFFF2 2在在N N的的后后沿沿受受Q Q0 0Q Q1 1控控制制,FFFF3 3在在N N的后沿受的后沿受Q Q0 0Q Q1 1Q Q2 2

243、 、Q Q0 0控制。控制。 工作波形图及计数状态表工作波形图及计数状态表 00000NQ2Q2Q1Q0100012001030011401005010160110701118100091001100000110001 FFFF0 0在在N N后后沿沿每每次次都都翻翻转转,FFFF1 1在在Q Q0 0的的后后沿沿Q Q3 3=0=0时时翻翻转转、Q Q3 3=1=1时时状状态态为为0 0,FFFF2 2在在Q Q1 1的的后后沿沿每每次次都都翻翻转转,FFFF3 3在在Q Q0 0的的后后沿沿Q Q1 1Q Q2 2=1=1时时翻翻转转、Q Q1 1Q Q2 2=0=0时时状状态态为为0 0

244、。工工作波形图及计数状态表与同步计数器相同。作波形图及计数状态表与同步计数器相同。 (2 2)异步)异步十进制加计数器十进制加计数器6 6集成计数器集成计数器 如如图图所所示示T4290T4290芯芯片片,使使用用时时将将CP0CP0作作为为时时钟钟端端、CP1CP1必必须须接接Q Q0 0。最最多多可可计计1010个个数数,并并且且,适适当当控控制制置置9 9端端S91S91、S92S92和和置置0 0端端R01R01、R02R02(这这4 4个个端端口口不不受受时时钟钟的的影影响响),可可构构成成模模M10M10的的计计数数器器,而且,多个芯片相联可构成任意进制计数器。而且,多个芯片相联可

245、构成任意进制计数器。 (1)集成计数器)集成计数器T4290(2)用)用T4290构成构成8进制计数器进制计数器(3)用)用T4290构成构成60进制计数器进制计数器 采用采用2 2片片T4290T4290相联。个位联成十进制计数器,相联。个位联成十进制计数器,十位联成六进制计数器。十位联成六进制计数器。 第十五章第十五章 脉冲电路脉冲电路 脉冲概念、脉冲的变换、脉冲的产生脉冲概念、脉冲的变换、脉冲的产生 本章主要内容:本章主要内容:返返回回前前进进16.1 16.1 脉冲概念脉冲概念 脉冲信号是指既非直流又非正弦的信号。如矩脉冲信号是指既非直流又非正弦的信号。如矩形波、三角波、锯齿波等。形波

246、、三角波、锯齿波等。 1. 1. 脉冲分类脉冲分类 根据波形的不同,分为如下几类:根据波形的不同,分为如下几类: 1.1.脉冲概念脉冲概念关于脉冲的几个参数:关于脉冲的几个参数:脉冲幅度脉冲幅度Um:电压最大值电压最大值上升时间(前沿时间)上升时间(前沿时间)tr:由由0.1Vm0.1Vm上升到上升到0.9Vm0.9Vm所所 需的时间需的时间 下降时间(后沿时间)下降时间(后沿时间)t t f f:由由0.9Vm0.9Vm下降到下降到0.1Vm0.1Vm所所 需的时间需的时间脉冲宽度脉冲宽度tw :前后沿前后沿0.5Vm0.5Vm之间的时间之间的时间 脉冲周期脉冲周期T T:两相邻脉冲对应点之

247、间的时间两相邻脉冲对应点之间的时间 占空比占空比D D:D = D = tw / / T T 16.2 16.2 脉冲变换脉冲变换 利用矩形波变换得到尖波、三角波、锯齿波等。利用矩形波变换得到尖波、三角波、锯齿波等。 1. 1. RC微分电路微分电路 构成电路的条件是构成电路的条件是=RC=RC很小,很小,一般一般(1/51/10)t(1/51/10)t即可。即可。 利用电容的充放电过程,可将利用电容的充放电过程,可将矩形脉冲变换为尖脉冲。矩形脉冲变换为尖脉冲。 电路中,电路中,U UO O= =U Ui i-U-UC C,工作过程如图所示。工作过程如图所示。 2. 2. RC积分电路积分电路

248、 条件是条件是=RC=RC很大,很大, 一般一般10t10t即可。即可。可将矩形脉冲变换为锯齿脉冲。可将矩形脉冲变换为锯齿脉冲。 电路中,电路中,V Vo o = = V VC C ,工作过程如图所示。工作过程如图所示。 3. 3. 限幅(削波)电路限幅(削波)电路 利利用用(理理想想)二二极极管管的的单单向向导导电电性性,将将波波形形的的任任意意部部分分削削去去,使使波波形形限限制制在在一一定定的的范范围围内内(保保留留需需要要的部分波形)。的部分波形)。 (1)单向限幅)单向限幅上限幅上限幅 (1)单向限幅)单向限幅下限幅下限幅 (2)双向限幅)双向限幅4. 4. 嵌位电路嵌位电路 利利用

249、用电电容容的的充充放放电电原原理理,将将周周期期性性变变化化波波形形的的顶顶部或底部保持在某一确定的直流电平上。部或底部保持在某一确定的直流电平上。 (1)顶部嵌位在)顶部嵌位在0电平电平(2)顶部嵌位在)顶部嵌位在E0电平电平(3)顶部嵌位在)顶部嵌位在E0电平电平16.3 16.3 脉冲的产生脉冲的产生 1.1.多谐振荡器多谐振荡器 无需外加触发信号,能周期性自动翻转、产生无需外加触发信号,能周期性自动翻转、产生一定幅值、一定周期的矩形脉冲。可由门电路或晶一定幅值、一定周期的矩形脉冲。可由门电路或晶体管构成。该电路没有稳态,只有两个暂稳态(体管构成。该电路没有稳态,只有两个暂稳态(1 1态

250、态和和0 0态),始终在两个暂稳态之间翻转,从而输出矩态),始终在两个暂稳态之间翻转,从而输出矩形波。形波。 门电路构成的多谐振荡器门电路构成的多谐振荡器波形参数:波形参数:Um=UOHUOLT1=RCln(VDD/(VDDVth)T2=RCln(VDD/Vth)若若Vth=VDD/2,则则TRCln41.4RC1.1.单稳态触发器单稳态触发器 单稳态触发器只有一个稳态(多为单稳态触发器只有一个稳态(多为0 0态),在没态),在没有外界触发信号的作用时,触发器就一直保持该稳有外界触发信号的作用时,触发器就一直保持该稳态不变,在外接触发信号触发下,触发器状态翻转态不变,在外接触发信号触发下,触发

251、器状态翻转为新态(暂稳态),但经过一段时间(该时间由触为新态(暂稳态),但经过一段时间(该时间由触发器及外界电路控制),又自动返回稳态。发器及外界电路控制),又自动返回稳态。 如图所示集成单稳态触如图所示集成单稳态触发器发器T1121T1121, Q Q为输出端口,为输出端口,R Rextext/C/Cextext、C Cextext、R Rintint为外接电为外接电路端口,路端口,A A1 1、A A2 2、B B为触发信为触发信号输入端口号输入端口 芯片芯片R Rextext/C/Cextext、C Cextext端口必须接电阻及电容。电端口必须接电阻及电容。电阻可采用外接电阻,也可采用

252、内接电阻,电容只能阻可采用外接电阻,也可采用内接电阻,电容只能采用外接。采用外接。 所所接接电电阻阻及及电电容容调调节节暂暂稳稳态态时时间间(脉脉冲冲宽宽度度)。如如典典型型值值tw=110ns=110ns,则则采采用用内内接接电电阻阻(R Rintint=2k=2k)时时C=80pFC=80pF,采采用用外外接接电电祖祖(此此时时管管脚脚R Rintint悬悬空空)时时,R=10 kR=10 k,C=100pFC=100pF。单稳态触发器应用举例单稳态触发器应用举例 可可用用预预定定时时、延延时时、消消除除噪噪声声等等。消消除除噪噪声声(干干扰、毛刺等)电路及工作波形图如图所示。扰、毛刺等)

253、电路及工作波形图如图所示。 电电路路中中,单单稳稳态态触触发发器器的的脉脉宽宽应应大大于于噪噪声声宽宽度度而而小小于于有效信号宽度。有效信号宽度。 16.4 55516.4 555定时器定时器 1. 1. 555集成定时器集成定时器 Q Q为输出端,为输出端,QQ为放电端,为放电端,resres为复位端,为复位端,V V1 1为控制电压端,为控制电压端,V V2 2为阈为阈值输入端,值输入端,V V3 3为触发输入端。为触发输入端。 利用利用555555定时器,接上少数元件,可方便地构成定时器,接上少数元件,可方便地构成各种定时、振荡电路。各种定时、振荡电路。 2. 2. 555定时器的应用定

254、时器的应用(1)构成单稳态触发器)构成单稳态触发器 脉冲宽度脉冲宽度tw=RCln31.1RC(2)构成多谐振荡器)构成多谐振荡器脉冲周期脉冲周期T0.7(R1+2R2)C占空比占空比D=(R1+R2)/(R1+2R2)当当R2R1,D=1/2方波。方波。第十六章第十六章 数模与模数转换数模与模数转换 数模转换、模数转换数模转换、模数转换 本章主要内容:本章主要内容:返返回回前前进进15.1 D15.1 D / / A A 转换转换 O O = = KK D Dn n 其中,其中,D Dn n为为n n位二进制数的十进位二进制数的十进制大小,制大小,K K为转换系数。为转换系数。 只只需需将将

255、二二进进制制数数(或或代代码码)转转换换成成十十进进制制数数即即可可。这这样样,按按一一定定频频率率(波波特特率率 Baud Baud raterate)出出现现的数字信号就转变成了一定形状的的模拟信号。的数字信号就转变成了一定形状的的模拟信号。 有如下几种转换电路:有如下几种转换电路: 1. 1. 权电阻权电阻D D / / A A转换器转换器 I I=I=I0 0+I+I1 1+I+I2 2+I+I3 3 =d=d0 0V VREFREF/2/23 3R+ dR+ d1 1V VREFREF/2/22 2R R + + d d2 2V VREFREF/2/21 1R+dR+d3 3V VR

256、EFREF/2/20 0R R =V=VREFREF(2(23 3d d3 3+2+22 2d d2 2+2+21 1d d1 1+2+20 0d d0 0)/2/23 3R=VR=VREF REF D D4 4/ / 2 24-14-1R R D D4 4为为4 4位二进制数的十进制数值。位二进制数的十进制数值。 对于对于n n位权电阻转换器位权电阻转换器 o o= = - - V VREFREFR Rf fD Dn n/2/2n-1n-1R R当当R Rf f = = R/2R/2时,时,o o= = - - V VREFREFD Dn n/2/2n n2. 2. 倒倒T T型电阻型电阻D

257、 D / / A A转换器转换器 I I=d=d3 3I/2+dI/2+d2 2I/4+dI/4+d1 1I/8 +I/8 + d d0 0I/16= DI/16= D4 4I/2I/24 4 =D=D4 4V VREFREF/2/24 4R R o o= = - - V VREF REF D D4 4R Rf f/2/24 4R R 对于对于n n位数字量输入:位数字量输入: o o = = - - V VREF REF D Dn nR Rf f/2/2n nR R 当当R Rf f = = R/2R/2时,时,o o= = - - V VREFREFD Dn n/2/2n n 当然,要真正

258、得到模拟信号,还需要对具有周期当然,要真正得到模拟信号,还需要对具有周期性保持形状的的信号进行滤波,已得到平滑的模拟信性保持形状的的信号进行滤波,已得到平滑的模拟信号。常用的滤波电路如图所示。号。常用的滤波电路如图所示。 15.2 A15.2 A / / D D 转换转换 将模拟信号转换为数字信号要经过采样、保持、将模拟信号转换为数字信号要经过采样、保持、量化、编码四个步骤。量化、编码四个步骤。 1. A1. A / / D D转换步骤转换步骤采样:采样:在控制信号作用下,将模拟量每隔一定的时间在控制信号作用下,将模拟量每隔一定的时间抽取一次样值,使连续变化的模拟量变成断续变化的抽取一次样值,

259、使连续变化的模拟量变成断续变化的模拟量。模拟量。 保持:保持:保持每次采样的量值不变,直到下次采样。保持每次采样的量值不变,直到下次采样。 量化:量化:将采样将采样- -保持后的电压化为某个规定单位的整保持后的电压化为某个规定单位的整数倍。数倍。编码:编码:将量化值用二进制代码表示。将量化值用二进制代码表示。 2. 2. 采样和保持采样和保持 在在TWTW一个周期一个周期T Ts s中,中,为采样时间,为采样时间,T Ts s 为为保持时间。在采样过程中,电容保持时间。在采样过程中,电容C C迅速充满电,在保迅速充满电,在保持过程中,持过程中,A A2 2的高输入电阻使得的高输入电阻使得C C

260、电压不变,一直到电压不变,一直到下次采样。采样下次采样。采样- -保持后的波形呈阶梯状。保持后的波形呈阶梯状。 3.3.量化和编码量化和编码(1 1)量化量化 将采样将采样- -保持后的电压化为某个规定单位保持后的电压化为某个规定单位S S的整的整数倍,称之为量化。数倍,称之为量化。 量化后的值必须是整数量化后的值必须是整数K K,但采样所得的各电压但采样所得的各电压值不一定都能被值不一定都能被S S整除,从而出现量化误差(不可避整除,从而出现量化误差(不可避免)。量化过程中必须将出现的小数化为整数。免)。量化过程中必须将出现的小数化为整数。 舍舍尾尾取取整整法法:V Vi i/S/S,舍舍去

261、去小小数数部部分分,只只保保留留整整数数部分部分K K,K K就是量化值。就是量化值。 四四舍舍五五入入法法:V Vi i/S/S,小小数数部部分分四四舍舍五五入入得得到到整整数数K K,K K就是量化值。就是量化值。 3.3.量化和编码量化和编码(2 2)编码编码 将改整数倍数值化为二进制代码的形式,称之将改整数倍数值化为二进制代码的形式,称之为编码。为编码。 将将K K用用二二进进制制代代码码表表示示,就就得得到到了了编编码码结结果果数数字信号。字信号。 由此,连续变化的模拟信号变成了断续变化的由此,连续变化的模拟信号变成了断续变化的数字信号(每隔数字信号(每隔TsTs时间出现一个数字信号

262、时间出现一个数字信号值)。值)。 4. 4. A/D转化电路转化电路(以并行比较型以并行比较型A/D转换器为例转换器为例) 基准电压基准电压V VREFREF经经8 8个电阻分压,加到电压比较器个电阻分压,加到电压比较器C C1 1、C C2 2、C C3 3、C C4 4、C C5 5、C C6 6、C C7 7的反向端上,电压依次为的反向端上,电压依次为V VREFREF/ / 1515、3V3VREF REF /15/15、5V5VREF REF /15/15、7V7VREF REF /15/15、9V9VREF REF /15/15、11V11VREF REF /15/15、13V13VREF REF /15/15,量化单位量化单位S=2VS=2VREF REF /15/15,采用四采用四舍五入量化法。模拟输入信号舍五入量化法。模拟输入信号I I决定了比较器的输决定了比较器的输出,比较器的输出又决定了出,比较器的输出又决定了D D触发器的输出触发器的输出Q Q,并且,并且,D D0 0=Q=Q7 7+ Q+ Q6 6Q Q5 5+ Q+ Q4 4Q Q3 3+ Q+ Q2 2Q Q1 1 、 D D1 1=Q=Q6 6 + Q+ Q4 4Q Q2 2 、 D D2 2=Q=Q4 4 。 谢谢 谢谢 大大 家家返返回回

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 高等教育 > 研究生课件

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号