数字电子技术基础课件ppt

上传人:s9****2 文档编号:579001355 上传时间:2024-08-25 格式:PPT 页数:172 大小:7.64MB
返回 下载 相关 举报
数字电子技术基础课件ppt_第1页
第1页 / 共172页
数字电子技术基础课件ppt_第2页
第2页 / 共172页
数字电子技术基础课件ppt_第3页
第3页 / 共172页
数字电子技术基础课件ppt_第4页
第4页 / 共172页
数字电子技术基础课件ppt_第5页
第5页 / 共172页
点击查看更多>>
资源描述

《数字电子技术基础课件ppt》由会员分享,可在线阅读,更多相关《数字电子技术基础课件ppt(172页珍藏版)》请在金锄头文库上搜索。

1、数字电子技术基础数字电子技术基础数字电子技术基础数字电子技术基础第一章第一章第一章第五章第五章第五章第四章第四章第四章第三章第三章第三章第二章第二章第二章第八章第八章第八章第七章第七章第七章第六章第六章第六章第九章第九章第九章第一章:数字逻辑基础第一章:数字逻辑基础1.1引言1.2数制的概念1.3常用数制间的转换1.4带符号数的表示方法1.5二进制数的算术运算1.6码制1.7逻辑代数基础1.8逻辑函数的表示方法及标准形1.9 逻辑函数的化简1.10 具有无关项逻函及其化简1.1 引言引言1.1.1 1.1.1 数字量和模拟量数字量和模拟量模拟量:模拟量:随时间是连续变化的物理量。随时间是连续变

2、化的物理量。特点:具有连续性。特点:具有连续性。表示模拟量的信号叫做模拟信号。表示模拟量的信号叫做模拟信号。工作在模拟信号下的电子电路称为模拟电路。工作在模拟信号下的电子电路称为模拟电路。数字量:数字量:时间、幅值上不连续的物理量。时间、幅值上不连续的物理量。特点:具有离散性。特点:具有离散性。表示数字量的信号叫做数字信号。表示数字量的信号叫做数字信号。工作在数字信号下的电子电路称为数字电路。工作在数字信号下的电子电路称为数字电路。1.2 数制的概念数制的概念通式:通式:1.2.1 十进制十进制(Decimal)有十个数码:有十个数码:0 0、1 1、9 9;逢十进一(基数为十);逢十进一(基

3、数为十);可展开为以可展开为以1010为底的多项式。为底的多项式。如:(如:(48.63)1.2.2 二进制(二进制(Binary)有两个数码:有两个数码:0 0、1 1;逢二一(基数为逢二一(基数为2 2););可展为以可展为以2 2为底的多项式。为底的多项式。如:如:式中:式中:同理:用同样方法可分析十六进制数,此处不再说明。同理:用同样方法可分析十六进制数,此处不再说明。称为位权。称为位权。十进制二进制十进制二进制00000810001000191001200101010103001111101140100121100501011311016011014111070111151111下面

4、说明十进制与二进制间的对应关系:二、数制转换二、数制转换1、二十、二十方法:按位权展开再求和即可。方法:按位权展开再求和即可。2、十二、十二整数部分:除整数部分:除2取余法取余法199 18 14 8 11 2 02 4 010011演算演算示例示例(19)D()B小数部分:乘小数部分:乘2取整法取整法例:(例:(0.625)D()()B0.625 21.2500.501.00.1013、二十六、二十六方法:从小数点开始左右四位一组,然后按二、十进制的对应关方法:从小数点开始左右四位一组,然后按二、十进制的对应关系直接写出即可。系直接写出即可。如:(如:(110110010.11011)B=(

5、1B2.D8)HB B2 21 1D D8 81.6 码制码制内容见下表例如,一位十进制数例如,一位十进制数09十个数十个数 码码,用四位二进制数表用四位二进制数表示时,其代码称为二示时,其代码称为二 十进制代码,简称十进制代码,简称 BCD代码。代码。用不同的数码表示不同事物的方法,就称为编码。用不同的数码表示不同事物的方法,就称为编码。为便于记忆和处理,在编码时必须遵循一定的规则,为便于记忆和处理,在编码时必须遵循一定的规则,这些规则就称为码制。这些规则就称为码制。BCD代码有多种不同的码制:代码有多种不同的码制:8421BCD 码、码、2421BCD码、码、 余余3码等,码等,十进制编码

6、编码种类种类0123456789权权8421码码0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 18 4 2 1余余3码码0 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 02421码码(A)0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 1 1 01 1 1 12 4 2 1余余 3 循环码循环码0 0 1 00 1 1 00 1 1 10 1 0

7、10 1 0 01 1 0 01 1 0 11 1 1 11 1 1 01 0 1 02421码码(B)0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 12 4 2 15211码码0 0 0 00 0 0 10 1 0 00 1 0 10 1 1 11 0 0 01 0 0 11 1 0 01 1 0 11 1 1 15 2 1 11.7 逻辑代数基础逻辑代数基础逻辑代数逻辑代数(布尔代数布尔代数)用来解决数字逻辑电路的分析与设计问题。用来解决数字逻辑电路的分析与设计问题。参与逻辑运算的变量叫逻辑变量,用

8、字母参与逻辑运算的变量叫逻辑变量,用字母A,B表示。表示。每个变量的取值非每个变量的取值非0 即即1。逻辑变量的运算结果用逻辑函数。逻辑变量的运算结果用逻辑函数来表示,其取值也为来表示,其取值也为0和和1。0 、1的含义的含义在逻辑代数及逻辑电路中,在逻辑代数及逻辑电路中,0和和1已不再具有值的概念。仅已不再具有值的概念。仅是借来表示事物的两种状态或电路的两种逻辑状态而已。是借来表示事物的两种状态或电路的两种逻辑状态而已。如:如:真真1合合1高高1取值;开关;电平。取值;开关;电平。假假0分分0低低02、与逻辑真值表、与逻辑真值表3、与逻辑函数式、与逻辑函数式4、与逻辑符号、与逻辑符号5、与逻

9、辑运算、与逻辑运算&ABY0 0 = 0 0 1 = 0 1 0 = 0 1 1 = 1Y = A BA BY0 00 11 01 10001一、与逻辑运算一、与逻辑运算1、与逻辑定义、与逻辑定义某一事件能否发生,有若干个条件。当所有条件都满足时,某一事件能否发生,有若干个条件。当所有条件都满足时,事件才能发生。只要一个或一个以上的条件不满足,事件就不事件才能发生。只要一个或一个以上的条件不满足,事件就不发生,这种决定事件的因果关系发生,这种决定事件的因果关系“与逻辑关系与逻辑关系”。1.7.1 三种基本逻辑运算三种基本逻辑运算二、二、 或逻辑运算或逻辑运算A B0 11 01 1 Y0 1

10、112、或逻辑真值表、或逻辑真值表3 、 或逻辑函数式或逻辑函数式4 、 或逻辑符号或逻辑符号Y=A+B0+0=0; 0+1=1; 1+0=1; 1+1=15、或逻辑运算、或逻辑运算11ABY1 1、或逻辑或逻辑定义定义0 0某一事件能否发生,有若干个条件。某一事件能否发生,有若干个条件。只要一个或一个以上只要一个或一个以上的条件满足,事件就能发生的条件满足,事件就能发生;只有当所有条件都不满足时,事;只有当所有条件都不满足时,事件就不发生,这种决定事件的因果关系件就不发生,这种决定事件的因果关系“或逻辑关系或逻辑关系”。三、三、 非运算非运算 条件具备时,事件不能发生;条件不具备时事件一定条

11、件具备时,事件不能发生;条件不具备时事件一定发生。这种决定事件的因果关系称为发生。这种决定事件的因果关系称为“非逻辑关系非逻辑关系”。 5 、 非逻辑运算非逻辑运算4、 非逻辑符号非逻辑符号3 、非逻辑函数式、非逻辑函数式2、非逻辑真值表、非逻辑真值表AY0110Y = A1AY0 = 11 1 、非、非逻辑逻辑定义定义 1 = 01.7.2 几种最常见的复合逻辑运算几种最常见的复合逻辑运算1 、 与非与非Y = A B&ABYAB0 0 0 11 01 1 Y1 1102 、 或非或非11ABYAB0 0 0 11 01 1 Y1 000Y = A + B3 、 同或同或AB0 0 0 11

12、 01 1 Y1 001Y= AB+A B =ABABY4 、 异或异或AB0 0 0 11 01 1 Y0 110ABY1Y= AB+AB =A B1.7.3 逻辑代数的基本公式和常用公式逻辑代数的基本公式和常用公式序号序号公式公式序号序号公式公式910A=021A=AA=A3AA=AA=A45AB=BB=BA A6A(B(BC)=(AC)=(AB)B)C C7A(B+C)=A(B+C)=AB+AB+AC C8AA=0A=0AB=A+BB=A+BA=A100 = 1111213141516171819A+AB=A+BB=A+B1= 01+A=10+A=AA+A=AA+B=B+AA+(B+C)

13、=(A+B)+CA+BC=(A+B)C=(A+B)(A+C)(A+C)A+A=1A+B = A+B = AB B1112131415161718试证明: A+AB=A1) 列真值表证明列真值表证明2) 利用基本公式证明利用基本公式证明 1、A+AB = A+B的推广A+ABC = A+BCAB+ABC = AB+CA+AB = A+ BAB+ABC = AB+C2、AB = A+B的推广ABC = A+B+C同理:A+B+C = A B C二、推广举例二、推广举例A BA+AB0+00=00+01=0 1+10=11+11=1A0011 A+AB=A(1+B)=A1=A 常用公式的证明与推广常

14、用公式的证明与推广一、一、证明举例证明举例0 00 11 01 13、冗余律ABACBCABAC1.7.4 逻辑代数的基本定理逻辑代数的基本定理1.4.1代入定理代入定理在逻辑代数中,如将等式两边相同变量都代之以另在逻辑代数中,如将等式两边相同变量都代之以另一逻函,则等式依然成立。一逻函,则等式依然成立。如:如:AABAB故:故:AC+D+AC+DBAC+D+B1.4.2反演定理反演定理将逻函中的将逻函中的“+”变变“”,“”变变“+”;“0”变变“1”,“1”变变“0”;原变量变反变量,反变量变原变量,所得新式;原变量变反变量,反变量变原变量,所得新式即为原函数的反函数。即为原函数的反函数。

15、将逻函中的将逻函中的“+”变变“”,“”变变“+”;“0”变变“1”,“1”变变“0”;变量不变,所得新式即为原函数的对偶式。;变量不变,所得新式即为原函数的对偶式。如:如:Y(A+BCD)E,则,则YA(B+C+D)+EA(B+CD)+E1.4.3对偶定理对偶定理如:如:YA(B+C),则),则YA+BC1.8 逻辑函数的表示方法及标准形式逻辑函数的表示方法及标准形式二、真值表二、真值表一、一、 逻辑函数表达式逻辑函数表达式YABC+ABC+ABCABCY00000101001110010111011100000111上述逻函的真值表如右表所示。上述逻函的真值表如右表所示。逻函是以表达式的形

16、式反应逻逻函是以表达式的形式反应逻辑功能。辑功能。真值表是以表格的形式反应真值表是以表格的形式反应逻辑功能。逻辑功能。1.8.1 逻辑函数及表示方法逻辑函数及表示方法1.8.1 逻辑函数及表示方法逻辑函数及表示方法三、逻辑图三、逻辑图以逻辑符号的形式反应逻辑功能。与上述逻函对应的以逻辑符号的形式反应逻辑功能。与上述逻函对应的逻辑电路如下逻辑电路如下逻辑功能还有其逻辑功能还有其它描述方法。它描述方法。&1111ABCY四、各种逻辑功能描述方法间的转换关系四、各种逻辑功能描述方法间的转换关系逻函逻函真值真值表表逻辑逻辑图图例:例:已知逻辑图,求其真值表。已知逻辑图,求其真值表。解:解:先由逻辑图写

17、出逻函表达式,再将逻函表达式化先由逻辑图写出逻函表达式,再将逻函表达式化为与或式并以此列出真值表。为与或式并以此列出真值表。YAABBAB AAB+BABA(A+B)+B(A+B)AB+ABABY000110110011&ABY1.8.21.8.2 逻函的两种标准形式逻函的两种标准形式逻函的两种标准形式逻函的两种标准形式逻函有两种标准表达形式,即最小项和最大项表达形式,逻函有两种标准表达形式,即最小项和最大项表达形式,逻函有两种标准表达形式,即最小项和最大项表达形式,逻函有两种标准表达形式,即最小项和最大项表达形式,这里主要介绍最小项表达形式。这里主要介绍最小项表达形式。这里主要介绍最小项表达

18、形式。这里主要介绍最小项表达形式。一、最小项一、最小项一、最小项一、最小项定义:设某逻函有个变量,定义:设某逻函有个变量,定义:设某逻函有个变量,定义:设某逻函有个变量,是个变量的一个乘积是个变量的一个乘积是个变量的一个乘积是个变量的一个乘积项,若中每个变量以原变量或反变量的形式出现一次且只出项,若中每个变量以原变量或反变量的形式出现一次且只出项,若中每个变量以原变量或反变量的形式出现一次且只出项,若中每个变量以原变量或反变量的形式出现一次且只出现一次,则现一次,则现一次,则现一次,则称为这个逻函的称为这个逻函的称为这个逻函的称为这个逻函的一个最小项。一个最小项。一个最小项。一个最小项。如:如

19、:Y Y(A A、B B、C C、D D)ABCD+ABCD+ABCABCD+ABCD+ABC是是不是不是1 1、最小项性质、最小项性质、个变量必有且仅有、个变量必有且仅有2 2最小项最小项0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1A B CA B CA B CA B CA B CA B CA B CA B C编号m0m1m2m3m4m5m6m7A B C最小项约定:原变量用约定:原变量用“ “1”1”表示;表示;反变量用反变量用“ “0”0”表示。表示。注:用编号表示最小项时,变注:用编号表示最小项时,变量数不同,相同编号所对应的最量数不同,相同编号所对

20、应的最小项名也不同。小项名也不同。如,如,6 6:对三变量逻函为对三变量逻函为ABCABC;对四变量逻函为对四变量逻函为ABCDABCD、所有最小项之和恒等于、所有最小项之和恒等于1 1、所有最小项之和恒等于、所有最小项之和恒等于、所有最小项之和恒等于、所有最小项之和恒等于1 1根据这一性质知,逻函一般不会包含属于它的所有最小根据这一性质知,逻函一般不会包含属于它的所有最小根据这一性质知,逻函一般不会包含属于它的所有最小根据这一性质知,逻函一般不会包含属于它的所有最小项。项。项。项。2 2、最小项的求法、最小项的求法、最小项的求法、最小项的求法注:注:注:注:在真值表中,逻函所包含的最小项恰是

21、逻函取值为在真值表中,逻函所包含的最小项恰是逻函取值为在真值表中,逻函所包含的最小项恰是逻函取值为在真值表中,逻函所包含的最小项恰是逻函取值为“1 1 1 1”所对应的项,所对应的项,所对应的项,所对应的项,如:如:如:如: 逻函的最小项表达形式是唯一的。逻函的最小项表达形式是唯一的。逻函的最小项表达形式是唯一的。逻函的最小项表达形式是唯一的。二、最大项二、最大项二、最大项二、最大项自学自学自学自学1.9.1化简的意义化简的意义先看一例:先看一例:1.9 逻辑函数的化简逻辑函数的化简11&11BACY与或表达式与或表达式与或非表达式与或非表达式与非与非表达式与非与非表达式或非或非表达式或非或非

22、表达式或与表达式或与表达式=ABAC=(A+B)(A+C)=AB+ACY=AB+AC=AB+AC=A+B+A+C可见,同一逻函可可见,同一逻函可以有多种表达方式,以有多种表达方式,对应有不同的实现电对应有不同的实现电路。路。那么哪种实现电那么哪种实现电路的方案最简单呢?路的方案最简单呢?因此,化简就成为最因此,化简就成为最重要、最有实际意义重要、最有实际意义的问题了。的问题了。化简的原则化简的原则1、表达式中乘积项最少(所用的门最少);、表达式中乘积项最少(所用的门最少);2、乘积项中的因子最少(门的输入端数最少);、乘积项中的因子最少(门的输入端数最少);3、化为要求的表达形式(便于用不同的

23、门来实现)。、化为要求的表达形式(便于用不同的门来实现)。1.9.2 公式化简法公式化简法例例例例1 1:Y YAB+AB+ABC+ABCD+ABCDAB+AB+ABC+ABCD+ABCDABAB(1+C1+C)+AB+AB+(AB+ABAB+AB)CDCDAB+AB+AB+ABCDAB+AB+AB+ABCDAB+AB+CDAB+AB+CD例例例例2 2:Y=ABC+AD+CD+BD+BEDY=ABC+AD+CD+BD+BED =ABC+AD+CD+BD=ABC+AD+CD+BD=ABC+(A+C)D+BD=ABC+(A+C)D+BD=ABC+ACD+BD=ABC+ACD+BD=ABC+ACD

24、=ABC+ACD例例例例3 3:Y=AB+BC+BC+ABY=AB+BC+BC+AB =AB(C+C)+BC(A+A)+BC+AB=AB(C+C)+BC(A+A)+BC+AB=ABC+ABC+ABC+ABC+BC+AB=ABC+ABC+ABC+ABC+BC+AB=BC+AC+AB=BC+AC+AB人的核心竞争力是人的核心竞争力是“ “学习学习” ”1.9.3逻函的卡诺图化简法逻函的卡诺图化简法公式化简法建立在基本公式和常用公式的基础之上,化简公式化简法建立在基本公式和常用公式的基础之上,化简公式化简法建立在基本公式和常用公式的基础之上,化简公式化简法建立在基本公式和常用公式的基础之上,化简方便

25、快捷,但是它依赖于人们对公式的熟练掌握程度、经验和方便快捷,但是它依赖于人们对公式的熟练掌握程度、经验和方便快捷,但是它依赖于人们对公式的熟练掌握程度、经验和方便快捷,但是它依赖于人们对公式的熟练掌握程度、经验和技巧,有时化简结果是否为最简还心中无数,而卡诺图化简法技巧,有时化简结果是否为最简还心中无数,而卡诺图化简法技巧,有时化简结果是否为最简还心中无数,而卡诺图化简法技巧,有时化简结果是否为最简还心中无数,而卡诺图化简法具有规律性,易于把握。具有规律性,易于把握。具有规律性,易于把握。具有规律性,易于把握。一、一、一、一、 逻函的卡诺图表示法逻函的卡诺图表示法逻函的卡诺图表示法逻函的卡诺图

26、表示法(一)、逻辑相邻项(一)、逻辑相邻项(一)、逻辑相邻项(一)、逻辑相邻项定义:在逻函的两个最小项中,定义:在逻函的两个最小项中,定义:在逻函的两个最小项中,定义:在逻函的两个最小项中,只有一个变量因互补而不同外,只有一个变量因互补而不同外,只有一个变量因互补而不同外,只有一个变量因互补而不同外,其余变量完全相同。其余变量完全相同。其余变量完全相同。其余变量完全相同。如:如:如:如:与与与与ABCY0000010100111001011101110 00 00 01 10 01 11 11 1显然,在真值表中,几何相邻的两个最小项未必满足逻显然,在真值表中,几何相邻的两个最小项未必满足逻辑

27、相邻。那么,能否将真值表中的最小项重新排列从而使得辑相邻。那么,能否将真值表中的最小项重新排列从而使得几何相邻必逻辑相邻呢?答案是:能,那就是真值表!几何相邻必逻辑相邻呢?答案是:能,那就是真值表!ABCABCABCABCABCABCABCABCABCABCABCABCABCABCABCABCA AA A0 04 43 32 21 17 76 6BCBCBCBCBCBCBCBC0 01 100000101111110105 5A ABCBC二变量:二变量:0 01 10 01 1A AB B珍爱环境就是珍爱生命珍爱环境就是珍爱生命四变量:四变量:四变量:四变量:ABABCDCD00000101

28、111110100000010111111010请同学们考虑它的相请同学们考虑它的相邻关系。邻关系。(二)、相邻项的合并规则(二)、相邻项的合并规则(二)、相邻项的合并规则(二)、相邻项的合并规则两个相邻项合并可消去一个变量,如:两个相邻项合并可消去一个变量,如:两个相邻项合并可消去一个变量,如:两个相邻项合并可消去一个变量,如:四个相邻项合并可消去两个变量,四个相邻项合并可消去两个变量,如:如:八个相邻项合并可消去三个变量,如:八个相邻项合并可消去三个变量,如:同理:同理:十六个相邻项合并可湔去四个变量;十六个相邻项合并可湔去四个变量;以此类推。以此类推。ABABCDCD0000010111

29、1110100000010111111010二、二、二、二、 逻函的卡诺图化简法逻函的卡诺图化简法逻函的卡诺图化简法逻函的卡诺图化简法化简原则:化简原则:化简原则:化简原则: 被圈最小项数应等于被圈最小项数应等于被圈最小项数应等于被圈最小项数应等于2 2个;个;个;个; 卡诺圈应为矩形且能大不小;卡诺圈应为矩形且能大不小;卡诺圈应为矩形且能大不小;卡诺圈应为矩形且能大不小; 最小项可被重复圈但不能遗漏;最小项可被重复圈但不能遗漏;最小项可被重复圈但不能遗漏;最小项可被重复圈但不能遗漏; 每圈至少应包含有一个新有最小项。每圈至少应包含有一个新有最小项。每圈至少应包含有一个新有最小项。每圈至少应包

30、含有一个新有最小项。例例例例1 1:Y Y(0 0,1 1,3 3,5 5,7 7)ABABCDCD00000101111110100000010111111010Y Y1 11 11 11 11 1例例例例2 2:Y Y(0 0,4 4,5 5,7 7,1515)1 11 1=ACD+ABC+BCD=ACD+ABC+BCD=ACD+ABD+BCD=ACD+ABD+BCD 此例说明:逻函化简的结果不一此例说明:逻函化简的结果不一此例说明:逻函化简的结果不一此例说明:逻函化简的结果不一定是唯一的,但最简程度一定是唯一定是唯一的,但最简程度一定是唯一定是唯一的,但最简程度一定是唯一定是唯一的,但最

31、简程度一定是唯一的。的。的。的。AD+ABCAD+ABC例例例例3 3:Y YBD+ABCD+ACD+ACD+ABCDBD+ABCD+ACD+ACD+ABCD1 11 11 11 1Y Y BDBD +ABC+ABC +ACD+ACD+ACD+ACD +ABC+ABCABABCDCD00000101111110100000010111111010Y Y1 11 11 11 1Y=ABC+ACD+ACD+ABCY=ABC+ACD+ACD+ABC例例例例3 3:Y=Y=m(1,2,3,4,5,6,7,8,9,10,11,12,13,14m(1,2,3,4,5,6,7,8,9,10,11,12,13

32、,14m(1,2,3,4,5,6,7,8,9,10,11,12,13,14m(1,2,3,4,5,6,7,8,9,10,11,12,13,14) ) ) )1 11 11 11 11 11 11 11 11 11 11 11 11 11 10 00 0圈圈圈圈“ “1”1”法:法:法:法:圈圈圈圈“ “0”0”法:法:法:法:Y YBC+AD+AB+CDBC+AD+AB+CD依据:依据:依据:依据:Y+YY+YY+YY+Y1 1 1 1,即(,即(,即(,即(Y+YY+YY+YY+Y)包含所有最小项,)包含所有最小项,)包含所有最小项,)包含所有最小项,未被未被未被未被Y Y Y Y包含的最小

33、项必被包含的最小项必被包含的最小项必被包含的最小项必被Y Y Y Y所包含;又所包含;又所包含;又所包含;又Y Y Y Y1 1 1 1时,时,时,时,Y Y Y Y0 0 0 0,Y Y Y Y(0 0 0 0,15151515)Y YABCD+ABCDABCD+ABCD1.10约束逻函的化简法约束逻函的化简法1.10.11.10.1 约束项和约束条件约束项和约束条件约束项和约束条件约束项和约束条件在在在在8421BCD8421BCD码中,码中,码中,码中,mm1010mm15 15 这六个最小项是不允许这六个最小项是不允许这六个最小项是不允许这六个最小项是不允许出现的,我们把它们称之为约束

34、项(无关项、任意项)。出现的,我们把它们称之为约束项(无关项、任意项)。出现的,我们把它们称之为约束项(无关项、任意项)。出现的,我们把它们称之为约束项(无关项、任意项)。(10101010,11111111,12121212,13131313,14141414,15151515)0 0 0 0称为约束条件。称为约束条件。称为约束条件。称为约束条件。1.10.21.10.2 约束逻函的化简约束逻函的化简约束逻函的化简约束逻函的化简例:例:例:例:设设设设A A、B B、C C、D D为一位为一位为一位为一位8421BCD8421BCD码,当码,当码,当码,当C C、D D两变量取两变量取两变量

35、取两变量取值相反时,函数值取值为值相反时,函数值取值为值相反时,函数值取值为值相反时,函数值取值为1 1,否则取值为,否则取值为,否则取值为,否则取值为0 0,试写出逻函的,试写出逻函的,试写出逻函的,试写出逻函的最简表达式。最简表达式。最简表达式。最简表达式。解:解:解:解:先列出该逻辑问题的真值表:先列出该逻辑问题的真值表:先列出该逻辑问题的真值表:先列出该逻辑问题的真值表:此例说明:卡诺图不仅可以化简逻函,还可以转换表达形此例说明:卡诺图不仅可以化简逻函,还可以转换表达形此例说明:卡诺图不仅可以化简逻函,还可以转换表达形此例说明:卡诺图不仅可以化简逻函,还可以转换表达形式。式。式。式。Y

36、 YABCD+ABCDABCD+ABCDA AB BC CD DY Y0 00 00 00 00 00 00 01 10 00 01 10 00 00 01 11 10 01 10 00 00 01 11 10 00 01 10 01 10 01 11 11 11 10 00 00 01 10 00 01 10 01 10 00 00 00 01 11 11 11 1ABABCDCD00000101111110100000010111111010Y Y1 11 11 11 11 1第二章:门电路第二章:门电路2.1引言引言2.2二、三极管的开关特性二、三极管的开关特性2.3最简单的与、或、非门

37、电路最简单的与、或、非门电路2.4TTL门电路门电路2.5CMOS门电路门电路2.2二、三极管的开关特性二、三极管的开关特性2.2.1 2.2.1 二极管的开关特性二极管的开关特性二极管的开关特性二极管的开关特性U U2 2U U1 1S SR RD D截止截止截止截止断开断开断开断开_ _+导通导通导通导通闭合闭合闭合闭合2.1概述概述 用以实现基本逻辑运算和复合逻辑运算的单元电路统称用以实现基本逻辑运算和复合逻辑运算的单元电路统称 为为 门电路门电路一、门电路一、门电路二、正、负逻辑二、正、负逻辑1 10 0正逻辑正逻辑正逻辑正逻辑0 01 1负逻辑负逻辑负逻辑负逻辑2.2.2 2.2.2

38、 三极管的开关特性三极管的开关特性三极管的开关特性三极管的开关特性V VO O/V/V0 0t/mst/msV Vi i/V/V0 0t/mst/ms截止区截止区截止区截止区 放大区放大区放大区放大区 饱和区饱和区饱和区饱和区截止区:截止区:I II I0 0,V VV V相当于相当于饱和区:饱和区:饱和区:饱和区:I IV V/(/( RcRc)=)=I Icscs,V V0V0V相当于相当于V VO OV VV VCCCCR RC CR RVTVT2.3最简单的与、或、非门电路最简单的与、或、非门电路2.3.1 2.3.1 二极管与门二极管与门二极管与门二极管与门A BY0V 0V0V 3

39、V3V 0V3V 3V0.7V0.7V0.7V3.7V约定:电平约定:电平约定:电平约定:电平高电平高电平高电平高电平“1”“1”低电平低电平低电平低电平“0”“0”A BY0 00 11 01 10001Y=AY=ABB与与与与逻辑逻辑功能功能功能功能5VRABYD2D10V3V0.7V3.7V2.3.2 2.3.2 二极管或门二极管或门二极管或门二极管或门A BY0 00 11 01 10111Y=A+BY=A+B或或或或逻辑逻辑功能功能功能功能人人 自自然然Y YA AB BR RD D1 1D D2 20V0V3V3V0V0V2.3V2.3V2.3.3 2.3.3 三极管非门三极管非门

40、三极管非门三极管非门AY0110I I2 2I I1 1Y=AY=A一、当一、当一、当一、当0V0V时时时时V VV VEEEER R1 1R R1 1R R2 20 08 83.33.33.33.310102V2V,所以所以所以所以VTVT截止,截止,截止,截止,I IC C=0=0,V VOO=5V=5V。2V2V,V VEEEE(- (-8V)8V)R R2 210k10kV VCCCC(5V)(5V)A AY YR R1 13.3k3.3kR RC C1k1kT T =20=200V0V5V5V5V5V0V0VI Ib bI IC C二、当二、当二、当二、当Vi=5VVi=5V时时时时

41、设:设:设:设:T T导通,则:导通,则:导通,则:导通,则:V VBEBE0.7V0.7V,所以,所以,所以,所以,V VR R1 1I I1 15 50.70.73.33.31.31.3A A,(V VEEEE)R R2 2I I2 20.70.7(8 8)10100.870.87A A,I I1 1 I I2 2 I I 0.430.43A A,CCCC R RC CI IBSBS5 520201 10.250.25A AI ICSCS R R而而而而V VCCCC(5V)(5V)A AY YR R1 13.3k3.3kR RC C1k1kT T =20=200V0V5V5V5V5V0V

42、0VV VEEEE(- (-8V)8V)R R2 210k10kI I2 2I I1 1又因为又因为又因为又因为I II IBSBS,所以所以所以所以T T饱和导通,饱和导通,饱和导通,饱和导通,0V0V2.4TTL门电路门电路2.4.1 TTL2.4.1 TTL反相器反相器反相器反相器一、电路结构及工作原理一、电路结构及工作原理一、电路结构及工作原理一、电路结构及工作原理0.2V0.2V3.4V3.4V1 1、输入、输入、输入、输入A A0.2V0.2V(V VILIL)T T1 1导通,导通,导通,导通,V VB1B10.9V0.9V,V VILIL0.2V0.2V0.9V0.9VT2T2

43、、T4T4截止,截止,截止,截止,I IB1B1(V(VCCCCV VB1B1)/R)/R1 1 =1.025=1.025A A。R R2 21.61.6R R1 14 4R R3 31 1R R4 4130130D D1 1T T1 1D D2 2T T2 2T T3 3T T4 4A AV VCCCC(5V5V)Y YR R2 21.61.6R R1 14 4R R3 31 1R R4 4130130D D1 1T T1 1D D2 2T T2 2T T3 3T T4 4A AV VCCCC(5V5V)Y YV VILIL0.2V0.2V0.9V0.9VY Y(输出)(输出)(输出)(输出

44、)V VCCCCV VR2R2V VBE3BE3V VD2D23.4V3.4VV VOHOH。V VO O3.4V3.4V2 2、输入、输入、输入、输入A A3.4V3.4V(V VOHOH)T T1 1集电结导通、集电结导通、集电结导通、集电结导通、T T2 2、T T4 4饱和,饱和,饱和,饱和,V VB1B12.1V2.1V,2.1V2.1VV VIHIH3.4V3.4VT T1 1发射结反偏,发射结反偏,发射结反偏,发射结反偏,T T1 1深度饱和,深度饱和,深度饱和,深度饱和,0.7V0.7V0.9V0.9VV VE2E2V VB1B1V VBC1BC1V VBES2BES22.1V

45、2.1V0.7V0.7V0.7V0.7V0.7V0.7V,V VC2C2 V VE3E3V VCES2CES20.7V0.7V0.2V0.2V0.9V0.9V, 所以所以所以所以T T3 3、D D2 2截止,截止,截止,截止,VOVO0.2V0.2V。V VOO0.2V0.2V二、电压传输特性二、电压传输特性二、电压传输特性二、电压传输特性o o(V VI I)0 0V VO O/V/VV VI I/V/V3.4V3.4VA AB BC CD DR R2 21.61.6R R1 14 4R R3 31 1R R4 4130130D D1 1T T1 1D D2 2T T2 2T T3 3T

46、T4 4A AV VCCCC(5V5V)Y Y15V5VV VV VV VTHTHV VTHTH称为阈电压或门槛电压,称为阈电压或门槛电压,称为阈电压或门槛电压,称为阈电压或门槛电压,约为约为约为约为1.4V1.4V。然后根据电压传输特性曲线由:然后根据电压传输特性曲线由:然后根据电压传输特性曲线由:然后根据电压传输特性曲线由:三、输入噪声容限三、输入噪声容限三、输入噪声容限三、输入噪声容限一般大约:一般大约:一般大约:一般大约:V VL L()()()()V VL L()()()()V VLNLN(0.2V0.2V)V VHH()()()()V VHH()()()()V VHNHN(3V3V

47、)V VILIL()()()()0.8V0.8V;V VIHIH()()()()2.0V2.0V。V VOLOL()()()()0.4V0.4V。V VOHOH()()()()2.4V2.4V;V VIHIH()。()。()。()。V VOHOH()()()()V VILIL()()()();V VOLOL()()()()通常,很难保证输入、通常,很难保证输入、通常,很难保证输入、通常,很难保证输入、输出电平在正常值上始终不输出电平在正常值上始终不输出电平在正常值上始终不输出电平在正常值上始终不变,变,变,变,首先规定:首先规定:0 0V VOO/V/VV VI I/V/V3.4V3.4VA

48、AB BC CD DV VOHOH()()()()V VIHIH()()()()V VILIL()()()()V VOLOL()()()()V VOLOL()()()()V VOHOH()()()()11V VO OV VI I定义:定义:定义:定义:V VNLNLV VILIL()()()()V VOLOL()()()() 0.8V0.8V0.4V0.4V0.4V0.4V;V VNHNHV VOHOH()()()()V VIHIH()()()() 2.4V2.4V2.0V2.0V0.4V0.4V噪声容限反应了门电路的抗噪声容限反应了门电路的抗噪声容限反应了门电路的抗噪声容限反应了门电路的抗干

49、扰能力。干扰能力。干扰能力。干扰能力。2.4.22.4.2TTLTTL反相器输入、输出特性反相器输入、输出特性反相器输入、输出特性反相器输入、输出特性一、输入特性一、输入特性一、输入特性一、输入特性I I(I I)1I II I5V5V5V5VR R1 1T T1 1V VBE2BE2V VBE4BE4v vI I/V/VI I/ /A A0 0I IISISI IIHIH(0.04mA0.04mA)1.4V1.4VI IISIS称为输入短路电流;称为输入短路电流;称为输入短路电流;称为输入短路电流; I IIHIH称为高电平输入电流。称为高电平输入电流。称为高电平输入电流。称为高电平输入电流

50、。二、输出特性二、输出特性二、输出特性二、输出特性OO(L L)L LOO5V5V1R RL L1 1、高电平输出特性、高电平输出特性、高电平输出特性、高电平输出特性5V5VR R2 2T T3 3OHOHD D2 2R R4 4R RL LL LL L/ /A AOHOH/V/V0 05 5A A7474系列门电路输出高电平时的系列门电路输出高电平时的系列门电路输出高电平时的系列门电路输出高电平时的L L不能超过不能超过不能超过不能超过0.40.4A A。2 2、低电平输出特性、低电平输出特性、低电平输出特性、低电平输出特性5V5VR RL LT T4 4OLOLR R3 3L LL L/

51、/A AOHOH/V/V0 00.2V0.2V1616A A3 3、扇出系数、扇出系数、扇出系数、扇出系数N NOOI IOHOHL L输出高电平时的输出高电平时的输出高电平时的输出高电平时的N NOO : :N NOHOH= =I IOH(maxOH(max) )/I /IIHIH=0.4/0.04=10=0.4/0.04=10。N NOLOL= =I IOL(maxOL(max) )/I /IISIS=16/1=16=16/1=16。OHOH输出低电平时的输出低电平时的输出低电平时的输出低电平时的N NOLOL : :I IISISOLOLL L春春1111三、输入端负载特性三、输入端负载

52、特性三、输入端负载特性三、输入端负载特性I I(R RI I)1V VI IR RI I5V5V5V5VR R1 1T T1 1V VBE2BE2V VBE4BE4R RI IR RL L/ /I I/V/V0 01.41.4I I(V(VCCCCV VBE1BE1)R)RI I/(R/(RI I+R+R1 1)=(5)=(50.7)R0.7)RI I/(R/(RI I+4)=4.3R+4)=4.3RI I/(R/(RI I+4)+4)2.4.42.4.4其它类型的其它类型的其它类型的其它类型的TTLTTL电路电路电路电路一、与非门、或非门、与或非门等一、与非门、或非门、与或非门等一、与非门、

53、或非门、与或非门等一、与非门、或非门、与或非门等&1111&2.4.32.4.3TTLTTL反相器动态特性自学反相器动态特性自学反相器动态特性自学反相器动态特性自学二、二、OC(OpenOC(Open Collector Gate) Collector Gate)门和门和TS(ThreeTS(Three-State Output)-State Output)门门问题的提出:问题的提出:问题的提出:问题的提出:V VOLOLV VOHOHR R4 4D D2 2T T3 3T T4 45V5V过过电电流流1 1、OCOC门门门门R R2 2R R1 1R R3 3T T2 2T T4 4B BV

54、 VCCCCY YA AY=ABY=AB& 典型典型典型典型TTLTTL门门门门电路的输出端不能并接使用。电路的输出端不能并接使用。电路的输出端不能并接使用。电路的输出端不能并接使用。R R4 4D D2 2T T3 3T T4 45V5V&1&mm个个个个门门门门n n个个个个输输输输入入入入端端端端R RL LV VCCCC线与线与R RL L称上拉电阻。称上拉电阻。称上拉电阻。称上拉电阻。选择方法如下:选择方法如下:选择方法如下:选择方法如下:V VOHOHV VOHOHV VOHOHI IOHOHI ILMLMI IOHOHI IIHIHI IL L式中:式中:式中:式中:I IOHO

55、H输出三极管截止时的漏电流;输出三极管截止时的漏电流;输出三极管截止时的漏电流;输出三极管截止时的漏电流;I ILMLM输出三极管允许的最大电流;输出三极管允许的最大电流;输出三极管允许的最大电流;输出三极管允许的最大电流;mm负载门的个数,若负载门输入端为或运算,负载门的个数,若负载门输入端为或运算,负载门的个数,若负载门输入端为或运算,负载门的个数,若负载门输入端为或运算,则则则则mm应为输入端数。应为输入端数。应为输入端数。应为输入端数。I IILILV VOLOL2 2、TSTS门门门门R R2 2R R1 1R R3 3D D1 1T T1 1D D2 2T T2 2R R4 4T

56、T3 3T T4 4B BV VCCCCENENA AP P11Y Y当当当当EN=1EN=1时:时:时:时: Y=ABY=AB当当当当EN=0EN=0时:时:时:时:T3T3、T4T4均截止,输出均截止,输出均截止,输出均截止,输出呈高阻呈高阻呈高阻呈高阻 态态态态( (禁态禁态禁态禁态) )。&ENENB BA AY Y高电平有效:高电平有效:高电平有效:高电平有效:低电平有效:低电平有效:低电平有效:低电平有效:&ENENB BA AY Y 虽然虽然虽然虽然OCOC门和门和门和门和TSTS门都能实现线与,但门都能实现线与,但门都能实现线与,但门都能实现线与,但OC OC 门的优势在于通过

57、外门的优势在于通过外门的优势在于通过外门的优势在于通过外接不同的电源电压可获得不同的输出高电平;而接不同的电源电压可获得不同的输出高电平;而接不同的电源电压可获得不同的输出高电平;而接不同的电源电压可获得不同的输出高电平;而TSTS门的优势在门的优势在门的优势在门的优势在于可方便地构成总线结构。如:于可方便地构成总线结构。如:于可方便地构成总线结构。如:于可方便地构成总线结构。如:单总线:单总线:单总线:单总线:ENENENEN&A AY Y&B B&Z Z&ENENENEN双总线:双总线:双总线:双总线:Z ZENEN&B BY YA A2.5 其它类型的双极型其它类型的双极型数字数字集成电

58、路集成电路以下电路仅作扼要介绍。以下电路仅作扼要介绍。以下电路仅作扼要介绍。以下电路仅作扼要介绍。2.4.5 改进型改进型TTL电路电路74H74H系列、系列、系列、系列、74S74S系列、系列、系列、系列、74LS74LS系列等。系列等。系列等。系列等。ECLECL电路、电路、电路、电路、I I2 2L L电路。电路。电路。电路。静静2.6CMOS门电路门电路2.6.1CMOS反相器反相器V VOOV VI IV VDDDDT T2 2T T1 11 1、电路结构及工作原理、电路结构及工作原理、电路结构及工作原理、电路结构及工作原理设:设:设:设:V VDDDDVVTH1TH1+ V+ VT

59、H2TH2 , ,且且且且V VILIL=0V=0V,V VIHIH=V=VDDDD。则:输入与输出间为非逻辑关系。则:输入与输出间为非逻辑关系。则:输入与输出间为非逻辑关系。则:输入与输出间为非逻辑关系。2 2、电压传输特性和电流传输特性、电压传输特性和电流传输特性、电压传输特性和电流传输特性、电压传输特性和电流传输特性0 0V VDDDDV VDDDDV VDDDD/2/2V VDDDD/2/2V VI IV VOOD DC CB BA AV VDDDDV VDDDD/2/2i iD DV VI IV VTH1TH1V VTH2TH20 02.6.2CMOS反相器的输入、输出特性反相器的输

60、入、输出特性V VOOV VI IV VDDDDT T2 2T T1 1D D2 2D DI IR RS SV VI Ii iI I0 0V VDDDD+0.7V+0.7V-0.7V-0.7VV VDDDDT T2 2R RL Li iD2D2i iOLOLV VIHIH=V=VDDDDV VOLOLV VOLOLi iOLOL0 0V VDDDD=5V=5V10V10V15V15VB BA AY YV VDDDDT T4 4T T3 3T T2 2T T1 1A 0101B 0011Y 1110Y=ABY=AB2.6.3CMOS与非门与非门2.6.4CMOS传输门和双向开关传输门和双向开关C

61、 CC CV VDDDDO/IO/II/OI/OT T2 2T T1 1V VI It t0 010V10V3V3V7V7VT T1 1导通导通导通导通T T2 2导通导通导通导通SWSWC CI/OI/OO/IO/I设:传输信号电压为设:传输信号电压为10V10V,C=10VC=10V,C=0VC=0V,V VTH1TH1=V=VTH2TH2=3V=3V。TGTGC C1 1O/IO/II/OI/O第三章:组合逻辑电路第三章:组合逻辑电路3.1引言引言3.2组合逻辑电路的分析方法和设计方法组合逻辑电路的分析方法和设计方法3.4若干常用的组合逻辑电路若干常用的组合逻辑电路3.3组合逻辑电路中的

62、竞争冒险现象组合逻辑电路中的竞争冒险现象3.1引言引言 电路特点:电路特点: 功能特点功能特点: 任意时刻的输出信号只与此时刻的输入信任意时刻的输出信号只与此时刻的输入信号有关,而与信号作用前电路的输出状态无关。号有关,而与信号作用前电路的输出状态无关。 不包含有记忆功能的单元电路,也没有不包含有记忆功能的单元电路,也没有反馈电路。反馈电路。 组合逻辑电路的特点组合逻辑电路的特点:数字电路数字电路组合逻辑电路组合逻辑电路时序逻辑电路时序逻辑电路3.2组合逻辑电路的分析方法和设计方法组合逻辑电路的分析方法和设计方法3.2.1组合逻辑电路的分析方法组合逻辑电路的分析方法已知逻辑电路已知逻辑电路已知

63、逻辑电路已知逻辑电路分析逻辑功能分析逻辑功能分析逻辑功能分析逻辑功能 由逻辑电路写出逻函表达式;由逻辑电路写出逻函表达式;由逻辑电路写出逻函表达式;由逻辑电路写出逻函表达式;分析步骤:分析步骤:分析步骤:分析步骤: 化简逻函并变换为与或式;化简逻函并变换为与或式;化简逻函并变换为与或式;化简逻函并变换为与或式;列真值表,判断其功能。列真值表,判断其功能。列真值表,判断其功能。列真值表,判断其功能。例:例:例:例:试分析图示电路的逻辑功能试分析图示电路的逻辑功能试分析图示电路的逻辑功能试分析图示电路的逻辑功能 。&11&C CB BA AY Y解:解:解:解:A B CA B CY Y0 0 0

64、 0 0 0 0 0 10 0 10 1 00 1 00 1 10 1 11 0 01 0 01 0 11 0 11 1 01 1 01 1 11 1 11 11 10 00 00 00 00 00 0功能:功能:功能:功能: 检测三位二进制码是否相同检测三位二进制码是否相同; 检测三台设备的工作状态是否相同检测三台设备的工作状态是否相同; 检测三个输入信号是否相同检测三个输入信号是否相同。3.2.2组合逻辑电路的设计方法组合逻辑电路的设计方法已知逻辑功能已知逻辑功能已知逻辑功能已知逻辑功能设计实现电路设计实现电路设计实现电路设计实现电路设计步骤:设计步骤:设计步骤:设计步骤: 画出逻辑图并选

65、择适当的器件实现逻函。画出逻辑图并选择适当的器件实现逻函。画出逻辑图并选择适当的器件实现逻函。画出逻辑图并选择适当的器件实现逻函。 列真值表;列真值表;列真值表;列真值表; 写出逻函表达式并化简为适当的形式;写出逻函表达式并化简为适当的形式;写出逻函表达式并化简为适当的形式;写出逻函表达式并化简为适当的形式; 分析逻辑功能确定输入变量、输出函数;分析逻辑功能确定输入变量、输出函数;分析逻辑功能确定输入变量、输出函数;分析逻辑功能确定输入变量、输出函数;例:例:例:例:电路设计一三人表决电路电路设计一三人表决电路电路设计一三人表决电路电路设计一三人表决电路 。解:解:解:解:设:分别用设:分别用

66、设:分别用设:分别用A A、B B、C C代表三人的意见,取值代表三人的意见,取值代表三人的意见,取值代表三人的意见,取值=1=1,同意;,同意;,同意;,同意;=0=0,不同意。,不同意。,不同意。,不同意。Y Y代表表决结果,代表表决结果,代表表决结果,代表表决结果,Y=Y=1 1,通过;,通过;,通过;,通过;0 0,未通过。,未通过。,未通过。,未通过。A B CA B CY Y0 0 0 0 0 0 0 0 10 0 10 1 00 1 00 1 10 1 11 0 01 0 01 0 11 0 11 1 01 1 01 1 11 1 11 10 00 00 01 11 10 01

67、1&C CB BA AY Y3.3组合逻辑电路中的竞争组合逻辑电路中的竞争_冒险现象冒险现象Y=ABAC=AB+AC1&BACYG1G2G3G4Y1Y2原因分析:当原因分析:当BC1时,时,YA+A应恒等应恒等于于1但由于存在延迟时间但由于存在延迟时间tpd,使得,使得G2、G3的的输入信号不同时改变,导致输入信号不同时改变,导致G4输入信号也不输入信号也不同时改变,遭成同时改变,遭成G4的输出产生不应出现的负的输出产生不应出现的负脉冲,该负脉冲对后续电路将产生造成干扰。脉冲,该负脉冲对后续电路将产生造成干扰。称:称:A+A0型冒险。型冒险。B、C1AAY1Y2tpd2tpd1Y1BACYG1

68、G2G3G4Y1Y2111111Y=A+B+A+C=AA+AC+AB+BCB、C0AAY1Y2tpd2tpd1Y称:称:AA1型冒险。型冒险。当当BC0时,时,YAA应恒等于应恒等于0,但,但考虑考虑tpd后,输出端出现了正的干扰脉冲。后,输出端出现了正的干扰脉冲。 判判断断方法:方法:当当其其它它变量取常量取常值时,若,若逻函能化函能化为A+AA+A、AAAA形式,形式,则存在存在竞争争冒冒险现象。象。 消除方法:消除方法:在在电路路输出端接入出端接入滤波波电容。容。 在在电路路输入端加入端加选通脉冲。通脉冲。 在在逻函中增加冗余函中增加冗余项。3.4常用的组合逻辑电路模块常用的组合逻辑电路

69、模块3.4.1编码器编码器一、普通编码器一、普通编码器一、普通编码器一、普通编码器编码:用文字、符号、数字表示特定对象的过程。如电话号编码:用文字、符号、数字表示特定对象的过程。如电话号编码:用文字、符号、数字表示特定对象的过程。如电话号编码:用文字、符号、数字表示特定对象的过程。如电话号码、运动员编号、姓名等均属编码。码、运动员编号、姓名等均属编码。码、运动员编号、姓名等均属编码。码、运动员编号、姓名等均属编码。特指:把输入的每一个高低电平信号编成一个对应的二进制特指:把输入的每一个高低电平信号编成一个对应的二进制特指:把输入的每一个高低电平信号编成一个对应的二进制特指:把输入的每一个高低电

70、平信号编成一个对应的二进制代码的电路。代码的电路。代码的电路。代码的电路。3 3位二进制编码器位二进制编码器位二进制编码器位二进制编码器(8(8线线线线33线编码器线编码器线编码器线编码器) ):3 3位二位二位二位二进制编进制编进制编进制编码器码器码器码器Y Y2 2Y Y1 1Y Y0 0I I7 7I I1 1I I0 0I I0 0 I I1 1 I I2 2 I I3 3 I I4 4 I I5 5 I I6 6 I I7 7Y Y0 0 Y Y2 2 Y Y3 31 0 0 0 0 0 0 01 0 0 0 0 0 0 00 1 0 0 0 0 0 00 1 0 0 0 0 0 0

71、0 0 0 0 0 0 0 10 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 1 1 1 1 1 1 1 任一时刻仅允许有任一时刻仅允许有一个输入端为高电平一个输入端为高电平( (有效有效) )约束约束。由真值表写出逻函表达式并利用约束项化简可得由真值表写出逻函表达式并利用约束项化简可得:111111I I7 7I I6 6I I5 5I I4 4Y Y2 2I I3 3I I1 1I I2 2Y Y1 1Y Y0 0二、优先编码器二、优先编码器二、优先编码器二、优先编码器特点特点:允许多个输入信号同时有效允许多个输入信号同时有效,但只对优先权最高的一个但只对优先权

72、最高的一个输入信号进行编码输入信号进行编码。 8 8线线3 3线编码器线编码器74LS14874LS148:电路见电路见P P141141:F F3.3.33.3.3输入输入:I I0 0II7 7,低电平有效低电平有效;输出输出:Y Y0 0YY3 3,低电平有效低电平有效。由电路易得由电路易得:S S称为选通输入端称为选通输入端,S=S=0 0,编码器工作编码器工作;1 1,编码器不工作编码器不工作。低电平有效低电平有效。Y YS S称为选通输出端称为选通输出端,低电平有效低电平有效:0 0,表示编码器工作且无信号输入表示编码器工作且无信号输入;Y YS S= =1 1,编码器工作且有输入

73、信号编码器工作且有输入信号。Y YEXEX称为扩展输出端称为扩展输出端,低电平有效低电平有效。Y YEXEX=0=0,表示表示,编码器工作且有输入信号编码器工作且有输入信号。逻辑符号逻辑符号:用二片用二片74LS14874LS148扩展为扩展为1616线线4 4线编码器线编码器:Y Y2 2 Y Y1 1 Y Y0 0 Y YS S Y YEXEX 74LS148 S 74LS148 S I I0 0 I I1 1 I I2 2 I I3 3 I I4 4 I I5 5 I I6 6 I I7 7Y Y2 2 Y Y1 1 Y Y0 0 Y YS S Y YEXEX 74LS148 S 74L

74、S148 S I I0 0 I I1 1 I I2 2 I I3 3 I I4 4 I I5 5 I I6 6 I I7 7A A1515A A1414Z Z1 1A A8 8A A3 3Z Z2 2Z Z3 3&Z Z0 0A A1212A A1313A A9 9A A1010A A1111A A7 7A A6 6A A5 5A A4 4A A2 2A A1 1A A0 0 1010线线4 4线线(8421BCD(8421BCD码码) )编码器编码器74LS14774LS147电路见电路见P P144 144 F F3.3.53.3.5:输入输入:I I0 0II9 9,代表代表0909十个

75、数码十个数码;输出输出:Y Y3 3YY0 0,代表一位代表一位8421BCD8421BCD码码。节能型节能型&3.4.2译码器译码器译码是编码的逆过程译码是编码的逆过程,它是将输入的代码转换成对应的高低它是将输入的代码转换成对应的高低电平输出电平输出。一一、二进制译码器二进制译码器3 3位二进制译码器位二进制译码器(3 3线线-8-8线线):):):):111A A0 0A A1 1A A2 2Y Y0 0Y Y1 1Y Y7 7Y Y2 2V VCCCC输入输入:A A2 2A A1 1A A0 0代代表表3 3位二进制码位二进制码。输出输出:Y Y7 7YY0 0代表代表0707八个数码

76、八个数码。Y Y0 0=A=A2 2A A1 1A A0 0,Y Y7 7=A=A2 2A A1 1A A0 0集成集成3 3线线8 8线译码器线译码器74LS13874LS138,电路见电路见P P146146、F F3.3.83.3.8。由电路易得由电路易得:Y Y0 0=A=A2 2A A1 1A A0 0S=mS=m0 0S S,Y Y1 1=A=A2 2A A1 1A A0 0S=mS=m1 1S S,Y Y7 7=A=A2 2A A1 1A A0 0S=mS=m7 7S SS=SS=S1 1S S2 2S S3 3称为译码控制端称为译码控制端( (使能端使能端) )。S=S=0 0

77、,不工作不工作;1 1,工作工作。1000S2+S30111S1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 1 1Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7A2 A1 A0功功功功能能能能表表表表Y Y0 0 Y Y1 1 Y Y2 2 Y Y3 3 Y Y4 4 Y Y5 5 Y Y6 6 Y Y7 7 7 74 4L LS S1 13 38 8S S1 1S S2 2S S3 3 A A2 2 A A1 1 A A0 0 二、二、BCDBCD

78、码码(4(4线线1010线线) )译码译码器器逻辑逻辑逻辑逻辑符号符号符号符号8421BCD8421BCD码译码器码译码器74LS4274LS42A A3 3A A2 2A A1 1A A0 0:输入,表示:输入,表示:输入,表示:输入,表示8421BCD8421BCD码;码;码;码;Y Y0 0YY9 9:代表:代表:代表:代表0909十个数码。十个数码。十个数码。十个数码。Y Y0 0Y Y1 1Y Y2 2Y Y3 3Y Y4 4Y Y5 5Y Y6 6Y Y7 7Y Y8 8Y Y9 9 7 74 4L LS S4 42 2 A A3 3 A A2 2 A A1 1 A A0 0 Y

79、Y0 0 Y Y1 1 Y Y2 2 Y Y3 3 Y Y4 4 Y Y5 5 Y Y6 6 Y Y7 7 7 74 4L LS S1 13 38 8S S1 1S S2 2S S3 3 A A2 2 A A1 1 A A0 0 用二片用二片用二片用二片138138扩展为扩展为扩展为扩展为4 4线线线线1616线译码线译码器:器:器:器:Z Z1414Z Z1515Z Z1313Z Z1212Z Z1111Z Z1010Z Z9 9Z Z8 8Z Z7 7Z Z6 6Z Z5 5Z Z4 4Z Z3 3Z Z2 2Z Z1 1Z Z0 05V5VD D3 3D D1 1D D2 2D D0 0

80、三、显示三、显示三、显示三、显示译码译码器器器器显示器显示器显示器显示器驱动器驱动器驱动器驱动器 代代代代 码码码码常见的显示器常见的显示器常见的显示器常见的显示器发光二极管发光二极管发光二极管发光二极管LEDLED;液晶液晶液晶液晶LCDLCD。1 1、七段字符显示器、七段字符显示器、七段字符显示器、七段字符显示器( (数码管数码管数码管数码管) )译码器译码器译码器译码器b ba ac cd de ef fg gD.D.P Pa a b bg gD.PD.Pa a b bg gD.PD.P2 2、BCDBCD七段显示译码器七段显示译码器七段显示译码器七段显示译码器 1 1 1 1 1 1

81、0 0 1 1 0 0 0 0 1 1 1 0 0 1 1 0 0 0 00 0 0 11 0 0 1Ya Yb Yc Yd Ye Yf YgA3 A2 A1 A0 据据据据8421BCD8421BCD码和数码管工码和数码管工码和数码管工码和数码管工作原理可列出作原理可列出作原理可列出作原理可列出真值表:真值表:真值表:真值表:由真值表可求出各输出端逻函表达式,如:由真值表可求出各输出端逻函表达式,如:由真值表可求出各输出端逻函表达式,如:由真值表可求出各输出端逻函表达式,如:A A3 3A A2 2A A1 1A A0 000000101111110100000010111111010YaY

82、a1 11 11 11 11 11 11 11 10 00 00 00 00 00 00 00 0YaYa=A=A3 3A A2 2A A1 1A A0 0+A+A2 2A A0 0+A+A3 3A A1 1同理可得:同理可得:同理可得:同理可得:YaYa=A=A3 3A A2 2A A1 1A A0 0+A+A2 2A A0 0+A+A3 3A A1 1YcYc=A=A2 2A A1 1A A0 0+A+A3 3A A2 2Yd=AYd=A2 2A A1 1A A0 0+A+A2 2A A1 1A A0 0+A+A2 2A A1 1A A0 0YbYb=A=A3 3A A2 2A A1 1A

83、 A0 0+A+A2 2A A0 0+A+A3 3A A1 1Ye=AYe=A2 2A A1 1+A+A0 0YfYf=A=A3 3A A2 2A A0 0+A+A2 2A A1 1+A+A1 1A A0 0YgYg=A=A2 2A A1 1A A0 0+A+A3 3A A2 2A A1 1据此,可画出逻辑电路图。据此,可画出逻辑电路图。据此,可画出逻辑电路图。据此,可画出逻辑电路图。集成集成集成集成BCDBCD码码码码七段七段七段七段显显示示示示译码译码器器器器74487448:电路见电路见电路见电路见P P155155 F F3.3.153.3.15,其逻辑符号为:,其逻辑符号为:,其逻辑

84、符号为:,其逻辑符号为:Y Ya a Y Yb b Y Yc c Y Yd d Y Ye e Y Yf f Y Yg g 7 74 4L LS S4 48 8 B BI I/ /R RB BO OA A3 3 A A2 2 A A1 1 A A0 0 L LT T R RB BI I 电路由两部分组成:电路由两部分组成:电路由两部分组成:电路由两部分组成:译码部分;译码部分;译码部分;译码部分;控制部分。控制部分。控制部分。控制部分。灯测试输入信号灯测试输入信号灯测试输入信号灯测试输入信号LTLT:输入,用以检查数码管的好坏。输入,用以检查数码管的好坏。输入,用以检查数码管的好坏。输入,用以检

85、查数码管的好坏。LT=LT=0 0,七段全亮;,七段全亮;,七段全亮;,七段全亮;1 1,电路正常译码。,电路正常译码。,电路正常译码。,电路正常译码。灭零输入信号灭零输入信号灭零输入信号灭零输入信号RBIRBI:输入,当:输入,当:输入,当:输入,当RBI=0RBI=0时,若输入时,若输入时,若输入时,若输入A A3 3A A2 2A A1 1A A0 0= = 0000 0000,则七段全灭,不显示;若,则七段全灭,不显示;若,则七段全灭,不显示;若,则七段全灭,不显示;若A A3 3A A2 2A A1 1A A0 00000000000000000,则则照常照常照常照常 显显示。示。示

86、。示。灭零输出信号灭零输出信号灭零输出信号灭零输出信号RBORBO: 输出,当芯片本身处于灭零状态输出,当芯片本身处于灭零状态输出,当芯片本身处于灭零状态输出,当芯片本身处于灭零状态( (即即即即RBI=0RBI=0且且且且A A3 3A A2 2A A1 1A A0 0=0000)=0000)时,时,时,时,RBO=0RBO=0,否,否,否,否则则则则RBO=1RBO=1。 利用利用利用利用RBIRBI、RBORBO信号,在多位显示系统中可以熄灭多信号,在多位显示系统中可以熄灭多信号,在多位显示系统中可以熄灭多信号,在多位显示系统中可以熄灭多余的零,如:余的零,如:余的零,如:余的零,如:0

87、0003.8013.8010 0RBI RBORBI RBORBI RBORBI RBORBO RBIRBO RBIRBI RBORBI RBORBO RBIRBO RBIRBO RBIRBO RBIRBO RBIRBO RBI5V5V74477447介绍:介绍:介绍:介绍: 其功能与其功能与其功能与其功能与74487448完全相同,仅是输出为低电平有效,完全相同,仅是输出为低电平有效,完全相同,仅是输出为低电平有效,完全相同,仅是输出为低电平有效,可作来驱动共阳极组的可作来驱动共阳极组的可作来驱动共阳极组的可作来驱动共阳极组的LEDLED显示器。显示器。显示器。显示器。3.4.3数据选择器数

88、据选择器一、数据选择一、数据选择一、数据选择一、数据选择器的工作原理器的工作原理器的工作原理器的工作原理D D1 1D D0 0D D2 2D D3 3Y YS SA A1 1A A0 0A1 A0Y0 00 11 01 1D D1 1D D0 0D D2 2D D3 3Y=AY=A1 1A A0 0D D0 0+A+A1 1A A0 0D D1 1 +A +A1 1A A0 0D D2 2+A+A1 1A A0 0D D3 3&1&111A A1 1A A0 0D D1 1D D0 0D D2 2D D3 3Y Y1STST二、集成数据选择二、集成数据选择二、集成数据选择二、集成数据选择器器

89、器器双四双四双四双四 选一数据选择器选一数据选择器选一数据选择器选一数据选择器74LS15374LS153: 两个数据选择两个数据选择两个数据选择两个数据选择器公用地址输入端器公用地址输入端器公用地址输入端器公用地址输入端和电源。和电源。和电源。和电源。 Y Y1 1 Y Y2 2 A A1 1 74LS153 A0D D1010D D1111D D1212D D13 13 S S1 1 D D2020D D2121D D2222D D23 23 S S2 2八选一数据选择器八选一数据选择器八选一数据选择器八选一数据选择器CC4512CC4512:DISDIS Y A2 Y A2 INH CC

90、4512 A1 INH CC4512 A1 D D0 0 D D1 1 D D2 2 D D3 3 D D4 4 D D5 5 D D6 6 D D7 7 A0 A0 Y=AY=A2 2A A1 1A A0 0D D0 0+A+A2 2A A1 1A A0 0D D1 1+ + A A2 2A A1 1A A0 0D D2 2+A+A2 2A A1 1A A0 0D D3 3+ + A A2 2A A1 1A A0 0D D4 4+A+A2 2A A1 1A A0 0D D5 5+ + A A2 2A A1 1A A0 0D D6 6+A+A2 2A A1 1A A0 0D D7 7功能表为:

91、功能表为:功能表为:功能表为:正常工作Y=0(不工作)输出高阻态0 00 1 1 功 能 DIS INH3.4.4加法器加法器先看一例:先看一例:1 1 0 1+ 1 0 11 1 11 0 0 1 0此例说明:只有最低位为两个数码相此例说明:只有最低位为两个数码相加,其余各位都有可能是三个数码加,其余各位都有可能是三个数码 。加得的结果必须用二位数来表示,一加得的结果必须用二位数来表示,一位反应本位和,一位反应进位。位反应本位和,一位反应进位。一、一、一、一、1 1位加法器位加法器位加法器位加法器 半加器半加器A BSCO0 0 0 11 01 1 01100001S=AB+ABCO=AB=

92、1ABSCOASB CO 全加器全加器A B CI S CO0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 10 01 01 00 11 00 1 0 11 1S COA B CI二、多位加法器二、多位加法器串行进位:串行进位:COSA B CICOSA B CICOSA B CIS1S0C0S2A1A0A2B1B0B23.4.5数值比较器数值比较器A B Y(AB)0 0 0 1 1 0 1 1 0 1 0 1 0 0 0 0 1 0 1 0一、一、1 1位数值比较器位数值比较器11&1&1Y(AB)Y(A=B)AB二、多位数值比较器二、多位数值比较器A=A3A

93、2A1A0B= B3 B2 B1 B0A3B3A3B3A3=B3A3=B3A3=B3A3=B3A3=B3A3=B3A3=B3A3B3A2B2A2B2A2=B2A2=B2A2=B2A2=B2A2=B2A2 B2A1B1A1B1A1=B1A1=B1A1=B1A1 B1 1 0 0 0 0 1 1 0 0 0 0 1 1 0 0 0 0 1 1 0 0 0 0 1 0 1 0 A0B0A0B0 A0=B0Y(AB)A0 B 0 3.4.6用集成器件设计组合逻辑电路用集成器件设计组合逻辑电路一、用译码器设计一、用译码器设计例例1:用:用74LS138实现下列一组逻函实现下列一组逻函解:解:先将逻函表达

94、为最小项形式:先将逻函表达为最小项形式:Z14+6+3+5Z32+3+5Z23+7+1若令:若令:A=A2,B=A1,C=A0,则有:,则有:Z14+6+3+5 4635Y4Y6Y3Y5Z23+7+1371Y3Y7Y1Z32+3+5 235 Y2Y3Y5由由74LS138知,在译码状态下有:知,在译码状态下有:Y0=m0,Y1=m1,Y Y7 7= =7 7。Y Y0 0 Y Y1 1 Y Y2 2 Y Y3 3 Y Y4 4 Y Y5 5 Y Y6 6 Y Y7 7 7 74 4L LS S1 13 38 8S S1 1S S2 2S S3 3 A A2 2 A A1 1 A A0 0 &Z

95、2Z1Z3A B C1 0 二、用数据选择器设计二、用数据选择器设计 一般说来,一般说来,4选选1数选器可实现数选器可实现3变变量以下的逻函,量以下的逻函,8选选1数选器可实现数选器可实现4变变量以下逻函,在允许添加门电路时,量以下逻函,在允许添加门电路时,可实现任一逻函。可实现任一逻函。例例2:用:用4选选1实现实现Z=AC+ABC+ABC解:解:ABZY=AY=A1 1A A0 0D D0 0+A+A1 1A A0 0D D1 1+A+A1 1A A0 0D D2 2+A+A1 1A A0 0D D3 3 Z=ABC+ABC+ABC+ABC=ABC+AB+ABC若令若令A1=A,A0=B,

96、Y=Z,则通过比较,则通过比较对应项可得:对应项可得:D0=C,D1=1,D2=C,D3=0。A1 YA0 D0D1D2D3 SCC100例例3:用:用8选选1实现逻函实现逻函Z=ABC+BD+ABCDE解:解:若令若令A2=A,A1=B ,A0=C ,Y=Z,则:,则:Y=AY=A2 2A A1 1A A0 0D D0 0+A+A2 2A A1 1A A0 0D D1 1+ A+ A2 2A A1 1A A0 0D D2 2+A+A2 2A A1 1A A0 0D D3 3+A+A2 2A A1 1A A0 0D D4 4 +A+A2 2A A1 1A A0 0D D5 5+ A+ A2 2

97、A A1 1A A0 0D D6 6+A+A2 2A A1 1A A0 0D D7 7Z=ABC+ABCD+ABCD+ABCD+ABCD+ABCDEDISDIS Y A2 Y A2 INH CC4512 A1 INH CC4512 A1 D D0 0 D D1 1 D D2 2 D D3 3 D D4 4 D D5 5 D D6 6 D D7 7 A0 A0 ABC00ZD&0 1E润第五章:时序逻辑电路第五章:时序逻辑电路5.1引言引言5.2触发器触发器锲锲 而而 不不 舍舍 金金 石石 可可 镂镂5.3 同步时序逻辑电路概述同步时序逻辑电路概述5.4 同步时序逻辑电路的分析及描述方法同步时

98、序逻辑电路的分析及描述方法5.5 异步时序逻辑电路的分析异步时序逻辑电路的分析5.6 时序逻辑电路的设计时序逻辑电路的设计5.7 常用时序逻辑电路模块常用时序逻辑电路模块5.1概述概述具有记忆功能的逻辑单元称为触发器。触发器是构成具有记忆功能的逻辑单元称为触发器。触发器是构成时序电路的基本单元。时序电路的基本单元。特点:特点:具有具有两个稳定的定的状态“0 0”和和“1 1”;根据需要可以置根据需要可以置“0 0”、置、置“1 1”。分类:分类:功功能能RSJKD;TT结结构构基本RS同步RS主从型。边沿型5.2触发器触发器5.2.1基本基本RS触发器触发器&RDSDQQ一、电路结构一、电路结

99、构 两与非非门交叉耦合而成。交叉耦合而成。 两输入端入端R RD D、S SD D,两互互补输出端出端Q Q、Q Q。 用用Q Q端的端的状态表示表示触发器的器的状态。二、工作原理二、工作原理维持置00置11约束1*1 10 11 0Q0 0功能Q+1RDSD真值表RD直接复位端。直接复位端。SD直接置位端。直接置位端。01111000111110001001100100110011111111QQSDRD或非门时序序图QQSDRD状态不定动作特点作特点输入信号时刻决定着输出状态。输入信号时刻决定着输出状态。逻辑符符号号QQRDSDQQRDSD与非门与非门或非门或非门 结构简单。 输入信入信号

100、存在存在约束。束。 电路每路每时每刻都接收每刻都接收输入信入信号。三、优缺点三、优缺点5.2.2同步同步RS触发器触发器&RSQQ&CP一、电路结构一、电路结构由基本由基本RS触发器和导引门组成。触发器和导引门组成。二、工作原理二、工作原理1110 0 100Qn+1=00约束1Qn+1=11Qn+1=QnQnR S功能功能Qn+1CP01111100000111100001001011动作特点作特点在在CP0时,不接收时,不接收输入信号,在输入信号,在CP1时才时才接收。接收。输入信号决定触发器的翻转方向(状态),时钟脉冲决定触发器的输入信号决定触发器的翻转方向(状态),时钟脉冲决定触发器的

101、翻转时刻,这是所有具有翻转时刻,这是所有具有CP的触发器的共同特点。的触发器的共同特点。RDSD时序序图RCPSQ干扰错误逻辑符号三、缺点三、缺点 输入仍有入仍有约束束 抗干扰能力差抗干扰能力差四、触发器逻辑功能的描述方法四、触发器逻辑功能的描述方法 真真值表表 时序序图 特性方程特性方程Qn+100 01 11 10 01RSQn111 状状态转换图01R=S=0R=0,S=1R=1,S=0R=0S=Qn+1=S+RQnSR=0QQR Scp5.2.3主从型触发器主从型触发器1、电路结构、电路结构2、工作原理、工作原理1CP&RS&QQ由两个同步由两个同步RS触发器串接触发器串接和一个电子开

102、关组成。和一个电子开关组成。从从触触发发器器从从触触发发器器cpR SQnQn+10 00000111 001100110 1010011111 000110011 101*1一、主从一、主从RS触发器触发器动作特点作特点输入信号分两步走,在输入信号分两步走,在CP1时将时将输入信号接收到主触发器中,在输入信号接收到主触发器中,在CP0时再将输入信号存入到从触发器内。时再将输入信号存入到从触发器内。时序序图CPRSQQ干扰,波形会怎样?逻辑符符号号3、优缺点、优缺点 输入信入信号无无约束。束。 易受干易受干扰。二、主从二、主从JK触发器触发器1、电路结构、电路结构在主从在主从RS触发器的基础上

103、增加两根反馈线就构成了主从触发器的基础上增加两根反馈线就构成了主从JK触发器。触发器。1R 1SC1QQRSCP2、工作原理、工作原理1CP&KJ&QQcpJ KQnQn+10 00 11 01 101010101010110功功 能能Qn+1=0Qn+1=QnQn+1=1Qn+1=Qn 因为与主从因为与主从RS基本相同,所以仅分基本相同,所以仅分析析J=K=1,Q=0这一种情况。这一种情况。0000111111规规律律JK J=KJ=K=0J=K=1Qn+1=J维持维持计数计数特性方程特性方程Qn+1=J K Qn+J K Qn+J K Qn+JKQn =J Qn+KQn时序序图QKCPJ对

104、输出状态有无影响?动作特点作特点 在在CP=1期间,要求输入信号应稳定不变,否则有可能导致触发期间,要求输入信号应稳定不变,否则有可能导致触发器误动作。器误动作。逻辑符符号号多输入:多输入:3、优缺点、优缺点 功能最强。功能最强。 易受干易受干扰。QQRdK1K2K3CP1JC11KJ1J2J3Sd1J 1KC1QQJKCPRdSd5.2.4边沿型触发器边沿型触发器一、一、COMS传输门边沿型触发器传输门边沿型触发器TGTG1 11 1TGTG3 3TGTG2 2TGTG4 41 11 11 1D DCPCPCPCPCPCPCPCPCPCPCPCPCPCPCPCPQ QQ Q工作原理工作原理C

105、PCPD DQQn+1n+1QQn n0 00 00 00 01 10 00 00 00 01 11 11 11 11 11 11 11 10 00 00 00 00 01 11 11 11 11 10 00 00 01 11 1动作特点作特点 仅在仅在仅在仅在CPCP由由由由0101的瞬间接收输入信号,其它的瞬间接收输入信号,其它的瞬间接收输入信号,其它的瞬间接收输入信号,其它时间输入信号的变化对触发器状态无影响。时间输入信号的变化对触发器状态无影响。时间输入信号的变化对触发器状态无影响。时间输入信号的变化对触发器状态无影响。优缺点缺点 抗干抗干扰能力强,可靠性高。能力强,可靠性高。 功能功

106、能简单。二、维持阻塞触发器二、维持阻塞触发器1、电路结构、电路结构 由基本由基本由基本由基本RSRS触发器和维持触发器和维持触发器和维持触发器和维持阻塞电路组成。阻塞电路组成。阻塞电路组成。阻塞电路组成。2、工作原理、工作原理&4 43 32 21 1D DCPCPQQQQ4 43 32 21 1置置置置“ “0“0“维持线维持线维持线维持线置置置置“ “1“1“阻塞线阻塞线阻塞线阻塞线置置置置“ “0“0“阻塞线阻塞线阻塞线阻塞线置置置置“ “1“1“维持线维持线维持线维持线CPCPD DQQn+1n+1QQn n0 00 00 00 00 00 01 11 11 11 11 11 10 0

107、0 00 00 00 01 11 11 11 11 1特性方程特性方程动作特点作特点 在在在在CPCP由由由由0101时,触发器按输入信号改变状态,在时,触发器按输入信号改变状态,在时,触发器按输入信号改变状态,在时,触发器按输入信号改变状态,在CP=1CP=1期间,即使输入信号改期间,即使输入信号改期间,即使输入信号改期间,即使输入信号改变,由于维持阻塞的作用,触发器的状态也不会改变,从而有效地提高了工作的可变,由于维持阻塞的作用,触发器的状态也不会改变,从而有效地提高了工作的可变,由于维持阻塞的作用,触发器的状态也不会改变,从而有效地提高了工作的可变,由于维持阻塞的作用,触发器的状态也不会

108、改变,从而有效地提高了工作的可靠性。靠性。靠性。靠性。QCPD误误动动作作否否?时序序图逻辑符符号号QQ D cp5.2.6触发器的电路结构和逻辑功能间的关系触发器的电路结构和逻辑功能间的关系 触发器的逻辑功能和触发器的电路结构之间没有必然的联系,同一种逻辑功能触发器的逻辑功能和触发器的电路结构之间没有必然的联系,同一种逻辑功能触发器的逻辑功能和触发器的电路结构之间没有必然的联系,同一种逻辑功能触发器的逻辑功能和触发器的电路结构之间没有必然的联系,同一种逻辑功能的触发器可以由不同的电路结构类型,同一种电路结构的触发器可以做成不同的的触发器可以由不同的电路结构类型,同一种电路结构的触发器可以做成

109、不同的的触发器可以由不同的电路结构类型,同一种电路结构的触发器可以做成不同的的触发器可以由不同的电路结构类型,同一种电路结构的触发器可以做成不同的逻辑功能。逻辑功能。逻辑功能。逻辑功能。5.2.5T及及T触发器触发器T T:T=0T=0时,维持;时,维持;时,维持;时,维持;T=1T=1时,计数。时,计数。时,计数。时,计数。TT:仅有计数功能。仅有计数功能。仅有计数功能。仅有计数功能。5.35.3 时序逻辑电路概述时序逻辑电路概述反馈电路将存储电路的输出状态反馈到组合逻辑电路反馈电路将存储电路的输出状态反馈到组合逻辑电路的输入端,与输入信号一起共同决定电路的输出。的输入端,与输入信号一起共同

110、决定电路的输出。时序逻辑电路的特点时序逻辑电路的特点1、功能特点、功能特点而且取决于上一个时刻的输出状态。而且取决于上一个时刻的输出状态。包含组合逻辑电路、存储电路及反馈电路。包含组合逻辑电路、存储电路及反馈电路。任一时刻的输出信号不仅取决于此时刻的任一时刻的输出信号不仅取决于此时刻的输入信号输入信号,2、电路特点、电路特点5.4 时序逻辑电路的分析方法时序逻辑电路的分析方法5.4.15.4.1 同步时序电路的分析方法同步时序电路的分析方法步骤:步骤:步骤:步骤:1. 1.由逻辑电路写出各触发器的驱动方程;由逻辑电路写出各触发器的驱动方程;由逻辑电路写出各触发器的驱动方程;由逻辑电路写出各触发

111、器的驱动方程;2. 2.由驱动方程和特性方程求次态方程由驱动方程和特性方程求次态方程由驱动方程和特性方程求次态方程由驱动方程和特性方程求次态方程( (状态方程状态方程状态方程状态方程) );3. 3.由电路写输出方程;由电路写输出方程;由电路写输出方程;由电路写输出方程;4. 4.由次态方程、输出方程画出状态转换表或状态由次态方程、输出方程画出状态转换表或状态由次态方程、输出方程画出状态转换表或状态由次态方程、输出方程画出状态转换表或状态 转换图;转换图;转换图;转换图;5. 5.判断逻辑功能。判断逻辑功能。判断逻辑功能。判断逻辑功能。例:例:例:例:分析图示电路的逻辑功能。分析图示电路的逻辑

112、功能。分析图示电路的逻辑功能。分析图示电路的逻辑功能。解:解:解:解:驱动方程:驱动方程:驱动方程:驱动方程:KK0 0=1=1Q Q2 2Q Q1 1Q Q0 0Y Y 1J Q 1J Q 1K Q 1K QC1C1 1J Q 1J Q 1K Q 1K QC1C1 1J Q 1J Q 1K Q 1K QC1C1&1 1&CPCP次次次次态态方程方程方程方程为为:输出方程:输出方程:输出方程:输出方程: 次态方程:次态方程:次态方程:次态方程:状态转换图:状态转换图:状态转换图:状态转换图:Q Q2 2Q Q1 1Q Q0 0Y Y0000000 00010010 00100100 00110

113、110 01001000 01011010 01111111 11101101 15.4.25.4.2 时序电路逻辑功能的描述时序电路逻辑功能的描述一、状态转换图一、状态转换图 据次态方程和输出方程由据次态方程和输出方程由据次态方程和输出方程由据次态方程和输出方程由电路原态求出电路电路原态求出电路电路原态求出电路电路原态求出电路 次态。次态。次态。次态。二、时序图二、时序图cpcpQQ2 2QQ1 1QQ0 0三、状态转换表三、状态转换表5.55.5 异步时序电路的分析方法异步时序电路的分析方法本内容归放到异步计数器一节中介绍本内容归放到异步计数器一节中介绍本内容归放到异步计数器一节中介绍本内

114、容归放到异步计数器一节中介绍5.6 时序时序逻辑电路逻辑电路的设计方法的设计方法设计步骤:设计步骤:设计步骤:设计步骤:根据根据根据根据逻辑逻辑功能的要求确定功能的要求确定功能的要求确定功能的要求确定输输入和入和入和入和输输出,出,出,出,并画并画并画并画出出出出状状状状态转换图态转换图。状状状状态态化化化化简简。检查电检查电路能否自路能否自路能否自路能否自启启启启动动。确定确定确定确定触触触触发发器器器器类类型,求出型,求出型,求出型,求出状状状状态态方程、方程、方程、方程、驱动驱动方程和方程和方程和方程和输输出方程。出方程。出方程。出方程。画画画画逻辑图逻辑图。状状状状态编码态编码。例例例

115、例1 1: 设计一个串行数据检测器,要求是连续输入设计一个串行数据检测器,要求是连续输入设计一个串行数据检测器,要求是连续输入设计一个串行数据检测器,要求是连续输入3 3个或个或个或个或3 3个以上的个以上的个以上的个以上的1 1时输出为时输出为时输出为时输出为1 1,其余输出为,其余输出为,其余输出为,其余输出为0 0。解:解:解:解:设:输入为设:输入为设:输入为设:输入为X X,输出为,输出为,输出为,输出为Y Y。S SX XY YS S1 1S S0 0S S2 2S S3 31 11 10 00 01 10 00 01 10 01 10 00 00 00 01 10 0状态转换图:

116、状态转换图:状态转换图:状态转换图:状态状态化化化化简简S S1 1S S0 0S S2 2S S3 31 11 10 00 01 10 00 01 10 01 10 00 00 00 01 10 0S S1 1S S0 0S S2 21 11 11 10 00 01 10 00 00 00 01 10 0状态编码状态编码2 2 2 2n n n n3333,取取取取2 2 2 2个触发个触发器。器。器。器。 S S0 0=00=00,S S1 1=01=01,S S2 2=10=10,则:,则:,则:,则:X XQQ1 1n n QQ2 2n nY YQQ1 1n+1 n+1 QQ2 2n+

117、1n+10 00 00 01 11 11 10 01 10 0 0 01 10 00 011111 10 01 10 00 01 10 01 11 10 00 00 00 011110 00 00 01 10 00 00 00 00 00 00 01 1艳艳确定确定确定确定触发触发器器器器类类型,求若干方程型,求若干方程型,求若干方程型,求若干方程QQi in+1n+1/Y/YQQ1 1n nQQ0 0n nX X00 01 11 1000 01 11 100 01 10 00 0/ /0 00 00 0/ /0 00 00 0/ /0 00 01 1/ /0 01 10 0/ /0 01 1

118、0 0/ /1 1状态方程:状态方程:状态方程:状态方程:QQ1 1n+1n+1=XQ=XQ0 0n n+XQ+XQ1 1n n输出方程:输出方程:输出方程:输出方程:Y=XQY=XQ1 1n n若选用若选用若选用若选用JKJK触发器,则:触发器,则:触发器,则:触发器,则:QQ1 1n+1n+1=XQ=XQ0 0n n+XQ+XQ1 1n n=XQ=XQ0 0n nQQ1 1n n+XQ+XQ1 1n n比照比照比照比照JKJK触发器的特性方程得:触发器的特性方程得:触发器的特性方程得:触发器的特性方程得:据此可画出逻辑电路图,并画出状态转换图以检查能否自启动。据此可画出逻辑电路图,并画出状

119、态转换图以检查能否自启动。据此可画出逻辑电路图,并画出状态转换图以检查能否自启动。据此可画出逻辑电路图,并画出状态转换图以检查能否自启动。QQ0 0n+1n+1=XQ=XQ1 1n nQQ0 0n nQQ0 0n+1n+1=XQ=XQ1 1n nQQ0 0n nJ J0 0=XQ=XQ1 1n nKK0 0=1=1J J1 1=XQ=XQ0 0n nKK1 1=X=X5.7 常用时序逻辑电路常用时序逻辑电路模块模块5.7.15.7.1 寄存器寄存器数码寄存器数码寄存器数码寄存器数码寄存器移位寄存器移位寄存器移位寄存器移位寄存器一、数码寄存器一、数码寄存器一、数码寄存器一、数码寄存器74LS17

120、574LS175:Q Q1D c1Q Q1D c1Q Q1D c1Q Q1D c11cpcpR RDDQQ3 3QQ2 2QQ1 1QQ0 0D D3 3D D2 2D D1 1D D0 0并行输出并行输出并行输出并行输出并行输入并行输入并行输入并行输入( (清清清清0 0端端端端) )( (寄存指令寄存指令寄存指令寄存指令) )CC4076CC4076: :D D3 3DD0 0: : 并行数据输入端;并行数据输入端;并行数据输入端;并行数据输入端;QQ3 3QQ0 0:并行三态输出端;:并行三态输出端;:并行三态输出端;:并行三态输出端;R RDD: 直接置位端;直接置位端;直接置位端;直

121、接置位端;ENENAA、ENENB B:输出控制端;:输出控制端;:输出控制端;:输出控制端;ENENA AENENB B功能功能功能功能0 00 01 1 1 1允许输出允许输出允许输出允许输出禁止输出禁止输出禁止输出禁止输出( (高阻态高阻态高阻态高阻态) )LDLDAA LD LDB B 0 00 00 10 11 1 0 02 21 1 1 1保保保保 持持持持功功功功 能能能能接收输入接收输入接收输入接收输入数据数据数据数据LDLDAA、LDLDB B:数据输入控制端。:数据输入控制端。:数据输入控制端。:数据输入控制端。二、移位寄存器二、移位寄存器二、移位寄存器二、移位寄存器Q Q

122、1D c1Q Q1D c1Q Q1D c1Q Q1D c1cpcpQQ3 3QQ2 2QQ1 1QQ0 0D DiRiR串出串出串出串出( (右移串入右移串入右移串入右移串入) )( (移位指令移位指令移位指令移位指令) )左移移位寄存器左移移位寄存器Q Q 1D c1Q Q 1D c1Q Q 1D c1Q Q 1D c1cpcpQQ3 3QQ2 2QQ1 1QQ0 0D DiLiL串出串出串出串出( (左移串入左移串入左移串入左移串入) )( (移位指令移位指令移位指令移位指令) )双双向移位寄存器向移位寄存器Q QQ Q 1D 1D C1C1Q QQ Q 1D 1D C1C1Q QQ Q

123、1D 1D C1C1右右右右左左左左74LS194A:74LS194A:S S1 1、S S0 0方式控制:方式控制:方式控制:方式控制:S S1 1 S S0 00 00 00 10 11 01 01 11 1功功功功 能能能能保保保保 持持持持右右右右 移移移移左左左左 移移移移并行输入并行输入并行输入并行输入5.7.25.7.2 计数器计数器计数器计数器 计数器就是每输入一个脉冲电路的状态改变一次,因此计数器不但可以对输入计数器就是每输入一个脉冲电路的状态改变一次,因此计数器不但可以对输入计数器就是每输入一个脉冲电路的状态改变一次,因此计数器不但可以对输入计数器就是每输入一个脉冲电路的状

124、态改变一次,因此计数器不但可以对输入脉冲进行计数,还可以用于分频、定时、产生节拍脉冲等待。脉冲进行计数,还可以用于分频、定时、产生节拍脉冲等待。脉冲进行计数,还可以用于分频、定时、产生节拍脉冲等待。脉冲进行计数,还可以用于分频、定时、产生节拍脉冲等待。工作工作工作工作方式方式方式方式同步同步同步同步异步异步异步异步计数计数计数计数方式方式方式方式加法加法加法加法减法减法减法减法计数计数计数计数体制体制体制体制二进制二进制二进制二进制十进制十进制十进制十进制n n进制进制进制进制一、同步一、同步一、同步一、同步计数器计数器计数器计数器1 1、同步二进制计数器、同步二进制计数器、同步二进制计数器、

125、同步二进制计数器加法加法 1J Q1J Q 1K Q 1K Q C1C1 1J Q1J Q 1K Q 1K Q C1C1 1J Q1J Q 1KQ 1KQ C1C1 1J Q1J Q 1KQ 1KQ C1C1&CPCPQQ3 3QQ2 2QQ1 1QQ0 0C C驱动方程:驱动方程:驱动方程:驱动方程:状态方程:状态方程:状态方程:状态方程:输出方程:输出方程:输出方程:输出方程:状态转换图:状态转换图:状态转换图:状态转换图:000000000 0000100010 0001000100 0001100110 0010001000 0100010000 0100110010 00 00111

126、0111010101010 0011001100 0101010100 0101110110 0110011000 0110111010 0111011100 0111111111 1时序图:时序图:时序图:时序图: 4 4位同步二进制加法计数器位同步二进制加法计数器位同步二进制加法计数器位同步二进制加法计数器7416174161:R RD D异步清零端异步清零端异步清零端异步清零端0 0,清零,不计数;,清零,不计数;,清零,不计数;,清零,不计数;1 1,正常计数。,正常计数。,正常计数。,正常计数。R RDD= =CPCPQQ2 2QQ1 1QQ0 0QQ3 3f f1/2f1/2f1/

127、16f1/16fC C QQ3 3 Q Q2 2 Q Q1 1 Q Q0 0 C R C RDD LD 74161 EP LD 74161 EP D D3 3D D2 2D D1 1D D0 0 ET ET CPCPD D3 3DD0 0:预置数输入端;:预置数输入端;:预置数输入端;:预置数输入端;LDLD:预置数控制端:预置数控制端:预置数控制端:预置数控制端( (同步方式同步方式同步方式同步方式) ),LD=LD=0 0,接收预置数,即,接收预置数,即,接收预置数,即,接收预置数,即QQ3 3QQ0 0=D=D3 3DD0 0;1 1,不接收预置数,计数器正常计数。,不接收预置数,计数器

128、正常计数。,不接收预置数,计数器正常计数。,不接收预置数,计数器正常计数。 利用这一功能,可使计数器的初态设定为任意值,如,计数器复位后状态转换利用这一功能,可使计数器的初态设定为任意值,如,计数器复位后状态转换利用这一功能,可使计数器的初态设定为任意值,如,计数器复位后状态转换利用这一功能,可使计数器的初态设定为任意值,如,计数器复位后状态转换图为:图为:图为:图为:000000000001000111111111 若要求状态转换图为:若要求状态转换图为:若要求状态转换图为:若要求状态转换图为:011001100111011111111111 则可让则可让则可让则可让D D3 3DD0 0=

129、0110=0110,并令,并令,并令,并令LD=0LD=0,在下一个,在下一个,在下一个,在下一个CPCP脉冲作用下,脉冲作用下,脉冲作用下,脉冲作用下,01100110被装入计被装入计被装入计被装入计数器,然后再让数器,然后再让数器,然后再让数器,然后再让LD=1LD=1,于是计数器则按要求的状态进行。,于是计数器则按要求的状态进行。,于是计数器则按要求的状态进行。,于是计数器则按要求的状态进行。EPEP、ETET:工作状态控制端:工作状态控制端:工作状态控制端:工作状态控制端:EP ETEP ET0 10 1 0 01 11 1控制功能控制功能控制功能控制功能保保保保 持持持持保持并使保持

130、并使保持并使保持并使C=0C=0计计计计 数数数数减减法法与加法电路作同样分析可得:与加法电路作同样分析可得:与加法电路作同样分析可得:与加法电路作同样分析可得:0000000000010001111111111 10 00 0可逆可逆计数数器器单时钟十六进制加单时钟十六进制加单时钟十六进制加单时钟十六进制加/ /减计数器减计数器减计数器减计数器74LS19174LS191: QQ3 3 Q Q2 2 Q Q1 1 Q Q0 0 C/B S C/B S LD 74191 U/D LD 74191 U/D D D3 3D D2 2D D1 1D D0 0 CPCP1 1CPCP0 0S: S:

131、使能端使能端使能端使能端U/D: U/D: 加减控制端,加减控制端,加减控制端,加减控制端,0 0,加法;,加法;,加法;,加法;1 1,减法,减法,减法,减法U/D=U/D=CPCP0 0:串行时钟输出端:串行时钟输出端:串行时钟输出端:串行时钟输出端: :CPCP0 0=S(C/B)CP=S(C/B)CP1 1即当:即当:即当:即当:S=0S=0,CPCP1 1=0=0时,时,时,时,CPCP0 0=C/B=C/B注:异步预置数方式注:异步预置数方式注:异步预置数方式注:异步预置数方式 1J Q1J Q 1K Q 1K Q C1C1 1J Q1J Q 1K Q 1K Q C1C1 1J Q

132、1J Q 1KQ 1KQ C1C1 1J Q1J Q 1KQ 1KQ C1C1&CPCPQQ3 3QQ2 2QQ1 1QQ0 0B B双时钟同步十六进制可逆计数器双时钟同步十六进制可逆计数器双时钟同步十六进制可逆计数器双时钟同步十六进制可逆计数器74LS19374LS193: Q Q3 3 Q Q2 2 Q Q1 1 Q Q0 0 CO CO R RD D BO 74193 BO 74193 LDLD D D3 3D D2 2D D1 1D D0 0 CPCPU UCPCPD D CPCPUU:加计数脉冲输入端:加计数脉冲输入端:加计数脉冲输入端:加计数脉冲输入端 ; ;CPCPDD: : 减

133、计数脉冲输入端。减计数脉冲输入端。减计数脉冲输入端。减计数脉冲输入端。异步方式接收预置数。异步方式接收预置数。异步方式接收预置数。异步方式接收预置数。2 2、同步十进制计数器、同步十进制计数器、同步十进制计数器、同步十进制计数器加法加法 Q QQ Q 1J1J CP 1KCP 1K Q QQ Q 1J1J CP 1KCP 1K Q QQ Q 1J1J CP 1KCP 1K Q QQ Q 1J1J CP 1KCP 1K &11&Q Q2 2Q Q1 1Q Q0 0Q Q3 3C CCPCP驱动方程:驱动方程:驱动方程:驱动方程:次态方程:次态方程:次态方程:次态方程:输出方程:输出方程:状态转换

134、图:状态转换图:状态转换图:状态转换图:000000000 0000100010 0001000100 0001100110 0010001000 0011001100 0011101110 0100010000 0100110011 1111011100 0111111111 1110111011 1110011000 0010101010 0101110111 1101010100 0同步十进制加法计数器同步十进制加法计数器同步十进制加法计数器同步十进制加法计数器74LS16074LS160: Q Q3 3 Q Q2 2 Q Q1 1 Q Q0 0 C R C RDD LD 74160 E

135、P LD 74160 EP D D3 3D D2 2D D1 1D D0 0 ET ET CPCP 具有异步清零、同步预置数、保具有异步清零、同步预置数、保具有异步清零、同步预置数、保具有异步清零、同步预置数、保持等功能。持等功能。持等功能。持等功能。减减法法驱动方程:驱动方程:驱动方程:驱动方程:次态方程:次态方程:次态方程:次态方程: Q QQ Q 1J1J CP 1KCP 1K Q QQ Q 1J1J CP 1KCP 1K Q QQ Q 1J1J CP 1KCP 1K Q QQ Q 1J1J CP 1KCP 1K &QQ2 2QQ1 1QQ0 0QQ3 3B BCPCP&输出方程:输出方

136、程:输出方程:输出方程:状态转换图:状态转换图:状态转换图:状态转换图:000000001 1100110010 0100010000 0011101110 0011001100 0010101010 0010001000 0001100110 0001100110 0001000100 0000100010 0111111110 0111011100 0110111010 0110011000 0101110110 0101010100 0可逆可逆计数数器器74LS19074LS190 QQ3 3 Q Q2 2 Q Q1 1 Q Q0 0 C/B SC/B S LD LD 74190 U/D

137、 74190 U/D D D3 3D D2 2D D1 1D D0 0 CPCP1 1CPCP0 0 具有加、减计数、保持、同步具有加、减计数、保持、同步具有加、减计数、保持、同步具有加、减计数、保持、同步预置数等功能。预置数等功能。预置数等功能。预置数等功能。 与二进制可逆计数器一样,十进制可与二进制可逆计数器一样,十进制可与二进制可逆计数器一样,十进制可与二进制可逆计数器一样,十进制可逆计数器也有双时钟的,如:逆计数器也有双时钟的,如:逆计数器也有双时钟的,如:逆计数器也有双时钟的,如:74LS19274LS192、CC40192CC40192等。等。等。等。二、异步二、异步二、异步二、异

138、步计数器计数器计数器计数器1 1、异步二进制计数器、异步二进制计数器、异步二进制计数器、异步二进制计数器 1J Q 1J Q 1K Q 1K QC1C1 1J Q 1J Q 1K Q 1K QC1C1 1J Q 1J Q 1K Q 1K QC1C1CPCPQQ0 0QQ2 2QQ1 1000000001001010010011011100100101101110110111111减通道减通道减通道减通道2 2、异步十进制计数器、异步十进制计数器、异步十进制计数器、异步十进制计数器1J Q1J Q1K Q1K QC1C11J Q1J Q1K Q1K QC1C11J Q1J Q1K Q1K QC1

139、C1 1J 1JQQ1K Q1K QC1C1CPCPQQ2 2QQ0 0QQ1 1QQ3 3驱动方程:驱动方程:驱动方程:驱动方程:状态方程:状态方程:状态方程:状态方程:时钟方程:时钟方程:时钟方程:时钟方程:状态转换图:状态转换图:状态转换图:状态转换图:00000000000100010010001000110011010001000101010101100110011101111000100010011001101010101011101111001100110111011110111011111111请同学们自己画出它的时序图。请同学们自己画出它的时序图。请同学们自己画出它的时序图。

140、请同学们自己画出它的时序图。惬惬3 3、二、二、二、二五五五五十进制异步计数器十进制异步计数器十进制异步计数器十进制异步计数器74LS29074LS290FFFF0 0:构成一位二进制计数器,计数脉冲为:构成一位二进制计数器,计数脉冲为:构成一位二进制计数器,计数脉冲为:构成一位二进制计数器,计数脉冲为CPCP0 0;FFFF3 3FFFF1 1:构成一位五进制计数器,计数脉冲为:构成一位五进制计数器,计数脉冲为:构成一位五进制计数器,计数脉冲为:构成一位五进制计数器,计数脉冲为CPCP1 1。J J2 2=1=1KK2 2=1=1J J1 1=Q=Q3 3n nKK1 1=1=1J J3 3

141、=Q=Q2 2n nQQ1 1n nKK3 3=1=1QQ1 1n+1n+1=Q=Q3 3n nQQ1 1n n , CPCP1 1= =CPCP1 1QQ2 2n+1n+1=Q=Q2 2n n , CPCP2 2=Q=Q1 1n nQQ3 3n+1n+1=Q=Q3 3n nQQ2 2n nQQ1 1n n , CPCP3 3=CP=CP1 1000000001001010010011011100100将将将将CPCP1 1接接接接QQ0 0,在,在,在,在CPCP0 0端加计数脉冲就构成了异步十进制计数器。端加计数脉冲就构成了异步十进制计数器。端加计数脉冲就构成了异步十进制计数器。端加计数脉

142、冲就构成了异步十进制计数器。S S9191、S S9292为异步置为异步置为异步置为异步置9 9端;端;端;端;R R0101、R R0202为异步置为异步置为异步置为异步置0 0端。端。端。端。三、任意进制计数器三、任意进制计数器三、任意进制计数器三、任意进制计数器1 1、大模构建小模、大模构建小模、大模构建小模、大模构建小模反反馈置置“ “0 0” ”法:适用于具有置零功能的法:适用于具有置零功能的计数数器。器。例例例例1 1:用同步十进制加法计数器用同步十进制加法计数器用同步十进制加法计数器用同步十进制加法计数器74LS160(74LS160(异步清零、同步预置数异步清零、同步预置数异步

143、清零、同步预置数异步清零、同步预置数) )构建六进制计数器。构建六进制计数器。构建六进制计数器。构建六进制计数器。00000000000100010010001000110011010101010100010001100110011101111000100010011001 QQ3 3 Q Q2 2 Q Q1 1 Q Q0 0 C R C RDD LD 74160 EP LD 74160 EP D D3 3D D2 2D D1 1D D0 0 ET ET CPCP&1 1存在的问题:存在的问题:存在的问题:存在的问题: 0110011001100110状状状状态虽态虽很短很短很短很短暂暂,但,

144、但,但,但对对后后后后续电续电路仍路仍路仍路仍会会会会产产生生生生 一定的干一定的干一定的干一定的干扰扰; 由于各由于各由于各由于各触触触触发发器器器器复复复复位位位位时间时间可能不等,如可能不等,如可能不等,如可能不等,如Q QQ Q1 1 1 1先于先于先于先于Q QQ Q2 2 2 2复复复复位,位,位,位,则则Q QQ Q1 1 1 1复复复复位后,立即位后,立即位后,立即位后,立即R R R RD DD D=1=1=1=1,造成,造成,造成,造成Q QQ Q2 2 2 2不能有效不能有效不能有效不能有效复复复复位,位,位,位,导导致致致致计计数数数数错误错误。取反馈信号取反馈信号改进

145、型电路:改进型电路:改进型电路:改进型电路:&1 1 QQ3 3 Q Q2 2 Q Q1 1 Q Q0 0 C R C RDD LD 74160 EP LD 74160 EP D D3 3D D2 2D D1 1D D0 0 ET ET CPCP&Q Q3 3Q Q0 0Q Q2 2Q Q1 1CPCP0 00 00 00 00 01 11 11 11 11 10 00 00 00 0R RDD从从容容复复位位反反馈置置数数法:适用于具有法:适用于具有预置置数数功能功能的的计数数器。器。例例例例2 2: 要求同例要求同例要求同例要求同例1 1 QQ3 3 Q Q2 2 Q Q1 1 Q Q0

146、0 C R C RDD LD 74160 EP LD 74160 EP D D3 3D D2 2D D1 1D D0 0 ET ET CPCP&1 1000000000001000100100010001100110100010001010101 上述大模构建小模的方法由于没有上述大模构建小模的方法由于没有上述大模构建小模的方法由于没有上述大模构建小模的方法由于没有10011001这个状态,进位端这个状态,进位端这个状态,进位端这个状态,进位端C C始终无输出,必须始终无输出,必须始终无输出,必须始终无输出,必须重新寻找进位。重新寻找进位。重新寻找进位。重新寻找进位。改进:改进:0000000

147、00001000100100010001100110101010101000100011001100111011110001000100110012 2、小模构建大模、小模构建大模、小模构建大模、小模构建大模 并并并并行行行行进进位位位位 串行串行串行串行进进位位位位 整体置零整体置零整体置零整体置零 整体置整体置整体置整体置数数数数并行进位C QC Q3 3 Q Q2 2 Q Q1 1 Q Q0 0 R RDD LD 74160 EP LD 74160 EP D D3 3D D2 2D D1 1D D0 0 ET ET CPCP11 10 1 0 00 1 0 0 C Q C Q3 3 Q

148、Q2 2 Q Q1 1 Q Q0 0 R RDD LD 74160 EP LD 74160 EP D D3 3D D2 2D D1 1D D0 0 ET ET CPCP1 1 C Q C Q3 3 Q Q2 2 Q Q1 1 Q Q0 0 R RDD LD 74160 EP LD 74160 EP D D3 3D D2 2D D1 1D D0 0 ET ET CPCP1 11 11 1进位输出进位输出进位输出进位输出(H)(H)(L)(L)1 1串行串行进位位 C Q C Q3 3 Q Q2 2 Q Q1 1 Q Q0 0 R RDD LD 74160 EP LD 74160 EP D D3

149、3D D2 2D D1 1D D0 0 ET ET CPCP1 1 C Q C Q3 3 Q Q2 2 Q Q1 1 Q Q0 0 R RDD LD 74160 EP LD 74160 EP D D3 3D D2 2D D1 1D D0 0 ET ET CPCP1 11 11 1进位输出进位输出进位输出进位输出(H)(H)(L)(L)1 11整体置零方式整体置零方式 C Q C Q3 3 Q Q2 2 Q Q1 1 Q Q0 0 R RDD LD 74160 EP LD 74160 EP D D3 3D D2 2D D1 1D D0 0 ET ET CPCP C Q C Q3 3 Q Q2 2

150、 Q Q1 1 Q Q0 0 R RDD LD 74160 EP LD 74160 EP D D3 3D D2 2D D1 1D D0 0 ET ET CPCP1 11 1(H)(H)(L)(L)1 1&例例例例3 3: 搭建一个搭建一个搭建一个搭建一个8787进制计数器进制计数器进制计数器进制计数器整体置整体置数数方式方式例例例例4 4:同例同例同例同例3 3 C Q C Q3 3 Q Q2 2 Q Q1 1 Q Q0 0 R RDD LD 74160 EP LD 74160 EP D D3 3D D2 2D D1 1D D0 0 ET ET CPCP C Q C Q3 3 Q Q2 2 Q

151、 Q1 1 Q Q0 0 R RDD LD 74160 EP LD 74160 EP D D3 3D D2 2D D1 1D D0 0 ET ET CPCP0 0 0 10 0 0 11 1(H)(H)(L)(L)1 1&0 0 1 10 0 1 1 低位计数器的第一个循环计低位计数器的第一个循环计低位计数器的第一个循环计低位计数器的第一个循环计7 7个脉冲,其余循环均计个脉冲,其余循环均计个脉冲,其余循环均计个脉冲,其余循环均计1010个脉冲;高位计数器个脉冲;高位计数器个脉冲;高位计数器个脉冲;高位计数器每个循环始终计每个循环始终计每个循环始终计每个循环始终计9 9个脉冲,当两片计数器的状

152、态均为个脉冲,当两片计数器的状态均为个脉冲,当两片计数器的状态均为个脉冲,当两片计数器的状态均为10011001时,产生时,产生时,产生时,产生LD=0LD=0的信号,的信号,的信号,的信号,这样总的脉冲数共为这样总的脉冲数共为这样总的脉冲数共为这样总的脉冲数共为8787个。个。个。个。你还能用其它办法构建一个你还能用其它办法构建一个你还能用其它办法构建一个你还能用其它办法构建一个8787进制计数器吗?进制计数器吗?进制计数器吗?进制计数器吗?若若若若LDLDLDLD信信信信号号号号改由高位的改由高位的改由高位的改由高位的C C C C产产生行不行生行不行生行不行生行不行? ? ? ?通通通通

153、过过修改修改修改修改预预置置置置数数数数呢?呢?呢?呢?思思思思考考考考四、移位寄存器型计数器四、移位寄存器型计数器四、移位寄存器型计数器四、移位寄存器型计数器1 1、环形计数器、环形计数器、环形计数器、环形计数器00010001001000100100010010001000110011001001100100110011011001101110111011011101101110110111011100000000111111111010101001010101CPCPQQ1 1QQ0 0QQ2 2QQ3 3各输出端依次产生一个脉冲,可作为各输出端依次产生一个脉冲,可作为各输出端依次产生一

154、个脉冲,可作为各输出端依次产生一个脉冲,可作为脉冲分配器使用。脉冲分配器使用。脉冲分配器使用。脉冲分配器使用。优缺点:优缺点:优缺点:优缺点:结构简单,不能自启动,器件利用率低。结构简单,不能自启动,器件利用率低。结构简单,不能自启动,器件利用率低。结构简单,不能自启动,器件利用率低。QQ0 0QQ1 1QQ2 2QQ3 3CPCPc11DQ Q c11DQ Q c11DQ Q c11DQ Q 具有自启动功能的环形计数器:具有自启动功能的环形计数器:2 2、扭环形计数器、扭环形计数器、扭环形计数器、扭环形计数器000100010010001001000100100010001100110011

155、1011101111111111011101011001100111011101010101000000001010101010111011001100111001100111c11D Q Q QQ0 0QQ1 1QQ2 2QQ3 3CPCPc11D Q Q c11D Q Q c11D Q Q QQ0 0QQ1 1QQ2 2QQ3 3CPCPc11D Q Q c11D Q Q c11D Q Q c11D Q Q 00000000000100010011001101110111111111111110111011001100100010001010101001000100100110010010

156、001001010101101110110110011011011101 同理:修改反馈逻辑可使电同理:修改反馈逻辑可使电同理:修改反馈逻辑可使电同理:修改反馈逻辑可使电路自启动。路自启动。路自启动。路自启动。第六章第六章 脉冲波形的产生和整形脉冲波形的产生和整形6.1 6.1 引言引言引言引言 6.2 6.2 施密特触发器施密特触发器施密特触发器施密特触发器6.3 6.3 单稳态触发器单稳态触发器单稳态触发器单稳态触发器6.4 6.4 多谐振荡器多谐振荡器多谐振荡器多谐振荡器6.5 5556.5 555定时器及应用定时器及应用定时器及应用定时器及应用6.1 6.1 概述概述概述概述 实际中常

157、需要连续不断的矩形脉冲或单个的矩形脉冲。获取矩形脉冲的方法实际中常需要连续不断的矩形脉冲或单个的矩形脉冲。获取矩形脉冲的方法实际中常需要连续不断的矩形脉冲或单个的矩形脉冲。获取矩形脉冲的方法实际中常需要连续不断的矩形脉冲或单个的矩形脉冲。获取矩形脉冲的方法不外乎由电路直接产生或经整形产生。本章主要介绍满足这些要求的单元电路。不外乎由电路直接产生或经整形产生。本章主要介绍满足这些要求的单元电路。不外乎由电路直接产生或经整形产生。本章主要介绍满足这些要求的单元电路。不外乎由电路直接产生或经整形产生。本章主要介绍满足这些要求的单元电路。6.2 6.2 施密特触发器施密特触发器施密特触发器施密特触发器

158、6.2.1 6.2.1 用门电路组成用门电路组成用门电路组成用门电路组成由由由由CMOSCMOS构成,构成,构成,构成,11R R2 2R R1 1v vI Iv vI I vovo v vOO电路条件:电路条件:电路条件:电路条件:R R1 1R2/3V2/3VCCCC2/3V2/3V2/3VCCCC2/3V1/3V1/3VCCCC1/3V1/3VCCCC1/3V1/3VCCCC1/3V C1C1OEOECLKCLKQQI I1 1I I2 21D Q1D Q Q Q C1C1OEOECLKCLK第八章第八章 数数/模及模模及模/数转换器数转换器8.18.1 概述概述概述概述8.28.2 数

159、数数数- -模转换器模转换器模转换器模转换器D/AD/A8.38.3 模模模模- -数转换器数转换器数转换器数转换器A/DA/D8.18.1 概述概述概述概述DACDAC:数字量转换成模拟量。:数字量转换成模拟量。:数字量转换成模拟量。:数字量转换成模拟量。ADCADC:模拟量转换成数字量。:模拟量转换成数字量。:模拟量转换成数字量。:模拟量转换成数字量。主要性能参数:转换精度和转换速度。主要性能参数:转换精度和转换速度。主要性能参数:转换精度和转换速度。主要性能参数:转换精度和转换速度。8.28.2 数数数数- -模转换器模转换器模转换器模转换器D/AD/A8.2.18.2.1 权电阻网络权

160、电阻网络权电阻网络权电阻网络DACDAC - A - A + + + +d d3 3d d2 2d d1 1d d0 0V VRefRefv vOOR/2R/22 23 3R R2 22 2R R2 21 1R R2 20 0R RI I1 1I I0 0I I2 2I I3 3I If fd di i= =0 0,S Si i投向右侧;投向右侧;投向右侧;投向右侧;1 1,S Si i投向左侧。投向左侧。投向左侧。投向左侧。v vOO=-(R/2)I=-(R/2)If f=-R/2(I=-R/2(I3 3+I+I2 2+I+I1 1+I+I0 0)=-R/2(V)=-R/2(VRefRef/

161、2/23 3R)dR)d3 3+(V+(VRefRef/2/22 2R)dR)d2 2 +(V +(VRefRef/2/21 1R)dR)d1 1 +(V+(VRefRef/2/20 0R)dR)d0 0 =-=-V VRefRef/ 2/ 24 4(2(23 3d d3 3+2+22 2d d2 2+2+21 1d d1 1+2+20 0d d0 0) )当当当当d d3 3d d2 2d d1 1d d0 0=0000=0000时,时,时,时,v vOO=0=0;当当当当d d3 3d d2 2d d1 1d d0 0=1111=1111时,时,时,时,v vOO=-(2=-(24 4-1

162、)/2-1)/24 4VVRefRef。优缺点:优缺点:优缺点:优缺点: 结构简单,所用元件数少。缺点是位数越多,电阻值差异越大,很结构简单,所用元件数少。缺点是位数越多,电阻值差异越大,很结构简单,所用元件数少。缺点是位数越多,电阻值差异越大,很结构简单,所用元件数少。缺点是位数越多,电阻值差异越大,很难保证精度。难保证精度。难保证精度。难保证精度。8.2.28.2.2 倒倒倒倒T T形电阻网络形电阻网络形电阻网络形电阻网络DACDAC - A - A + + + +d d3 3d d2 2d d1 1d d0 0V VRefRefv vOOR RI/2I/24 4I/2I/23 3I/2I

163、/22 2I/2I/21 1I II/2I/21 1I/2I/22 2I/2I/23 3I/2I/24 42R2R2R2R2R2R2R2R2R2RR RR RR RI If fv v0 0=-=-I If fR R=-V=-VRefRef/2/24 4(2(23 3d d3 3+2+22 2d d2 2 +2+21 1d d1 1+2+20 0d d0 0) )集成集成集成集成DAC(AD7520)DAC(AD7520): 输入为输入为输入为输入为1010位二进制数,其位二进制数,其位二进制数,其位二进制数,其运放需外接。运放需外接。运放需外接。运放需外接。8.2.38.2.3 权电流型权电流

164、型权电流型权电流型DACDACV VRefRef - - A A + + + +d d0 0d d1 1d d2 2d d3 3v vOOR RF FI/2I/24 4I/2I/21 1I II/2I/22 2I/2I/23 3I IBOBO2R2R2R2R2R2R2R2R2R2RR RR RR RI If f - A - A + + + +-V-VEEEER RR RR RI/2I/24 4I IRefRefv vOO= =I If fR RF F=IR=IRF F (1/2 (1/24 4)d)d0 0+(1/2+(1/23 3)d)d1 1+(1/2+(1/22 2)d)d2 2+(1/

165、2)d+(1/2)d3 3 =V =VRefRefR RF F/(2/(24 4 R RR R)(2)(23 3d d3 3+ 2+ 22 2d d2 2+ 2+ 21 1d d1 1+ 2+ 20 0d d0 0) )集成集成集成集成DAC0808DAC0808:DAC0808DAC0808 - A - A + + + +5k5kR RRRV VRefRefV VCCCCv vOOR RF F0.010.01F F-V-VEEEEd d1 1d d0 0d d7 71414131315152 24 416163 35 56 61212当当当当V VRefRef=10V=10V,R RR R=

166、R=RF F=5k=5k时:时:时:时:若:若:若:若:d d7 7dd0 0=00000000=00000000,则:则:则:则:V VOminOmin=0V=0V;若:若:若:若:d d7 7dd0 0=11111111=11111111,则:则:则:则:V VOmaxOmax9.96V9.96V。8.2.48.2.4 双极性双极性双极性双极性DACDAC - - A A + + + +d d2 2d d1 1d d0 0-V-VRefRef(-8V)(-8V)v vOOR RI II/2I/2V VB BI IB B2R2R2R2R2R2R2R2RR RR RI If f补补补补 码码码

167、码d d2 2 d d1 1 d d0 0十进制数十进制数十进制数十进制数输出电压输出电压输出电压输出电压0 1 10 1 10 1 00 1 00 0 10 0 10 0 00 0 01 1 11 1 11 1 01 1 01 0 11 0 11 10 0 0 0 3 32 21 10 0-1-1-2-2-3-3-4-4+3V+3V+2V+2V+1V+1V0V0V-1V-1V-2V-2V-3V-3V-4V-4V而对于而对于而对于而对于3 3位单极性位单极性位单极性位单极性DACDAC有:有:有:有:原原原原 码码码码d d2 2 d d1 1 d d0 0输出电压输出电压输出电压输出电压加偏

168、移加偏移加偏移加偏移-4V-4V后的输出后的输出后的输出后的输出电压电压电压电压1 1 11 1 11 1 01 1 01 0 11 0 11 0 01 0 01 0 01 0 00 1 10 1 10 1 00 1 00 0 0 0 0 0 +7+7+6+6+5+5+4+4+3+3+2+2+1+10 0+3V+3V+2V+2V+1V+1V0V0V-1V-1V-2V-2V-3V-3V-4V-4V 加偏移电压后可输出双极性电压,因为要求:加偏移电压后可输出双极性电压,因为要求:加偏移电压后可输出双极性电压,因为要求:加偏移电压后可输出双极性电压,因为要求:d d2 2d d1 1d d0 0=1

169、00=100时,时,时,时,VO=0VVO=0V,所以:,所以:,所以:,所以:V VB B/R/RB B=V=VRefRef/(2R)=I/2/(2R)=I/2。 综上所述:采用单极性综上所述:采用单极性综上所述:采用单极性综上所述:采用单极性DACDAC构成双极性构成双极性构成双极性构成双极性DACDAC时,只要将最高时,只要将最高时,只要将最高时,只要将最高位位位位(MSB)(MSB)取反,并加上适当的偏移电压即可。取反,并加上适当的偏移电压即可。取反,并加上适当的偏移电压即可。取反,并加上适当的偏移电压即可。8.2.58.2.5 DAC DAC的转换精度与转换速度的转换精度与转换速度的

170、转换精度与转换速度的转换精度与转换速度一、转换精度一、转换精度一、转换精度一、转换精度1 1、分辨率、分辨率、分辨率、分辨率用二进制代码的位数来表示,如:用二进制代码的位数来表示,如:用二进制代码的位数来表示,如:用二进制代码的位数来表示,如:8 8位、位、位、位、1010位等。位等。位等。位等。 用二进制代码的的最低位为用二进制代码的的最低位为用二进制代码的的最低位为用二进制代码的的最低位为1 1与所有位全为与所有位全为与所有位全为与所有位全为1 1的比值来表示,如对的比值来表示,如对的比值来表示,如对的比值来表示,如对于于于于1010:2 2、转换误差、转换误差、转换误差、转换误差 用最低

171、有效位的倍数表示,如:用最低有效位的倍数表示,如:用最低有效位的倍数表示,如:用最低有效位的倍数表示,如:(1/2)LSB(1/2)LSB表示最大误差的绝对值小于等表示最大误差的绝对值小于等表示最大误差的绝对值小于等表示最大误差的绝对值小于等于对应输入为于对应输入为于对应输入为于对应输入为00000101时输出模拟电压的时输出模拟电压的时输出模拟电压的时输出模拟电压的1/21/2。 用输出电压满度值的百分数表示,用输出电压满度值的百分数表示,用输出电压满度值的百分数表示,用输出电压满度值的百分数表示,即:即:即:即:(v(vOmaxOmax/v/vOmaxOmax)100%)100%。 v v

172、OmaxOmax00000000111111110 0v vOmaxOmax产生误差的原因:产生误差的原因:产生误差的原因:产生误差的原因:V V V VRefRefRefRef的波的波的波的波动动运运运运放本身的零漂放本身的零漂放本身的零漂放本身的零漂模模模模拟拟开关开关开关开关的的的的导导通通通通压压降降降降网网网网络电络电阻的偏差阻的偏差阻的偏差阻的偏差二、转换速度二、转换速度二、转换速度二、转换速度v vOOt t0 0稳态值稳态值稳态值稳态值t tsetset 影响影响影响影响t tsetset的主要因素是运的主要因素是运的主要因素是运的主要因素是运放的转换速率。放的转换速率。放的转

173、换速率。放的转换速率。8.38.3 模模模模- -数转换器数转换器数转换器数转换器A/DA/D9.3.1 ADC9.3.1 ADC的基本原理的基本原理的基本原理的基本原理一、取样一、取样一、取样一、取样( (采样采样采样采样) )与保持与保持与保持与保持T Ts sv vI It t0 0T Ts s :采样间隔时间:采样间隔时间:采样间隔时间:采样间隔时间f fs s =1/ T =1/ Ts sf fs s :采样频率,:采样频率,:采样频率,:采样频率,采样定理:采样定理:采样定理:采样定理: f fs s 2 2 2 2 f fi(maxi(max) )一般:一般:一般:一般: f f

174、s s =(35) =(35) f fi(maxi(max) )二、量化与编码二、量化与编码二、量化与编码二、量化与编码量化:就是把采样点的值用最小数量单位量化:就是把采样点的值用最小数量单位量化:就是把采样点的值用最小数量单位量化:就是把采样点的值用最小数量单位( (量化单位量化单位量化单位量化单位) )的整数倍表示,一的整数倍表示,一的整数倍表示,一的整数倍表示,一般取量化单位为:般取量化单位为:般取量化单位为:般取量化单位为: =1LSB=1LSB。编码:就是把采样值的量化结果用一组代码来表示。编码:就是把采样值的量化结果用一组代码来表示。编码:就是把采样值的量化结果用一组代码来表示。编

175、码:就是把采样值的量化结果用一组代码来表示。量化方法量化方法量化方法量化方法1 1:0V0V1V1V1/8V1/8V3/8V3/8V2/8V2/8V4/8V4/8V5/8V5/8V6/8V6/8V7/8V7/8V000000010010001001011011100100101101110110111111量化方法量化方法量化方法量化方法2 2:0V0V1V1V1/15V1/15V5/15V5/15V3/15V3/15V7/15V7/15V9/15V9/15V11/15V11/15V13/15V13/15V11111111011010110110010001101101001000100100

176、0000量化单位:量化单位:量化单位:量化单位: =(1/8)V=(1/8)V,量化误差:量化误差:量化误差:量化误差: =(1/8)V=(1/8)V。量化单位:量化单位:量化单位:量化单位: =(2/15)V=(2/15)V,量化误差:量化误差:量化误差:量化误差:(1/2)(1/2)(1/2)(1/2)=1/15V=1/15V。8.3.28.3.2 采样采样采样采样- -保持电路保持电路保持电路保持电路(S/H)(S/H)基本电路:基本电路:基本电路:基本电路: - - A A + + + +v vI Iv vOOv vL LS SR R1 1R RF FC CHH V VL L=1=1时

177、,时,时,时,S S闭合,闭合,闭合,闭合,C CHH被充电,被充电,被充电,被充电,取取取取R RF F=R=R1 1,则,则,则,则V Vo o=-V=-VI I; V VL L=1=1时,时,时,时,S S断开,断开,断开,断开,C CHH上电压基上电压基上电压基上电压基本保持不变。本保持不变。本保持不变。本保持不变。重要指标:获取时间和重要指标:获取时间和重要指标:获取时间和重要指标:获取时间和(V(VOO/T)/T)8.3.38.3.3 直接直接直接直接ADCADC一、并联比较型一、并联比较型一、并联比较型一、并联比较型ADCADC 将将将将VRefVRef分压为分压为分压为分压为1

178、515等分,量化单位为等分,量化单位为等分,量化单位为等分,量化单位为 =2/15=2/15,为比较器提供比较的基,为比较器提供比较的基,为比较器提供比较的基,为比较器提供比较的基准电压。准电压。准电压。准电压。分压器:分压器:分压器:分压器:比较器:比较器:比较器:比较器:将输入电压与基准电压进行比较,比较结果送寄存器寄存。将输入电压与基准电压进行比较,比较结果送寄存器寄存。将输入电压与基准电压进行比较,比较结果送寄存器寄存。将输入电压与基准电压进行比较,比较结果送寄存器寄存。寄存器:寄存器:寄存器:寄存器:寄存比较结果。寄存比较结果。寄存比较结果。寄存比较结果。代码转换器:代码转换器:代码

179、转换器:代码转换器:将寄存器的状态转化为三位二进制代码。将寄存器的状态转化为三位二进制代码。将寄存器的状态转化为三位二进制代码。将寄存器的状态转化为三位二进制代码。d d2 2=Q=Q4 4d d1 1=Q=Q6 6+Q+Q4 4QQ2 2d d0 0=Q=Q7 7+Q+Q6 6QQ5 5+Q+Q4 4QQ3 3+Q+Q2 2QQ1 1工作原理:工作原理:工作原理:工作原理:当当当当v vI I(1/15)V(1/15)VRefRef时,时,时,时,C C1 1CC7 7=0=0,CPCP作用后,作用后,作用后,作用后,QQ1 1QQ7 7=0=0,d d2 2d d1 1d d0 0=000

180、=000; 当当当当(3/15) (3/15) V VRefRefv vI I(5/15)V CPCP寄寄寄寄存存存存器器器器 CPCP - - A A + + + +数字量数字量数字量数字量v vL LCPCPv vI I特点:特点:特点:特点:结构简单,转换结构简单,转换结构简单,转换结构简单,转换速度慢。速度慢。速度慢。速度慢。2 2、逐次逼近式、逐次逼近式、逐次逼近式、逐次逼近式FFFF1 1FFFF5 5:组成环形计数器。:组成环形计数器。:组成环形计数器。:组成环形计数器。FFFFA AFFFFC C:组成寄存器。:组成寄存器。:组成寄存器。:组成寄存器。S SA A=Q=Q1 1

181、,R RA A=Q=Q2 2v vB B;S SB B=Q=Q2 2,R RB B=Q=Q1 1+Q+Q3 3v vB B;S SC C=Q=Q3 3,R RC C=Q=Q1 1+Q+Q4 4v vB B。GG6 6GG8 8:组成输出控制电路。:组成输出控制电路。:组成输出控制电路。:组成输出控制电路。d d2 2=Q=QA AQQ5 5,d d1 1=Q=QB BQQ5 5,d d0 0=Q=QC CQQ5 5工作原理:工作原理:工作原理:工作原理:转换前,先将转换前,先将转换前,先将转换前,先将QQA A、QQB B、QQC C置置置置0 0,将,将,将,将QQ1 1QQ5 5置成置成置

182、成置成1000010000。当当当当v vL L由由由由0101时,由于时,由于时,由于时,由于S SA A=1=1、R RA A=0=0,S SB B=0=0、R RB B=1=1,S SC C=0=0、R RC C=1=1,所以在第,所以在第,所以在第,所以在第一个一个一个一个CPCP到来时,到来时,到来时,到来时,QQA AQQB BQQC C=100=100,同时,同时,同时,同时,QQ1 1QQ5 5=01000=01000。 此时,若:此时,若:此时,若:此时,若:v vI I v vo o,则:,则:,则:,则:v vB B=0 S=0 SA A=R=RA A=0=0,S SB

183、B=1=1、R RB B=0=0,S SC C=R=RC C=0 Q=0 QA AQQB BQQC C=110 =110 ,即最高位,即最高位,即最高位,即最高位1 1保留,次高位置保留,次高位置保留,次高位置保留,次高位置1 1。依此表逐位比较下去,直至依此表逐位比较下去,直至依此表逐位比较下去,直至依此表逐位比较下去,直至v vI I= =v vo o。 求和电路的作用是:将求和电路的作用是:将求和电路的作用是:将求和电路的作用是:将DACDAC输出的模拟电压偏移输出的模拟电压偏移输出的模拟电压偏移输出的模拟电压偏移-/2-/2,以保证能按方,以保证能按方,以保证能按方,以保证能按方法法法

184、法2 2所示方式进行量化。所示方式进行量化。所示方式进行量化。所示方式进行量化。 特点:特点:特点:特点:介于并联型和计数器型两者之间。是目前集成介于并联型和计数器型两者之间。是目前集成介于并联型和计数器型两者之间。是目前集成介于并联型和计数器型两者之间。是目前集成ADCADC中应用最中应用最中应用最中应用最广范的一种。广范的一种。广范的一种。广范的一种。8.3.48.3.4 间接间接间接间接ADCADC双积分式双积分式双积分式双积分式 - - A A + + + + - - A A + + + +1J Q1J Q1K Q1K QCPCP1J Q1J Q1K Q1K QCPCP1J Q1J Q

185、1K Q1K QCPCP1J Q1J Q1K Q1K QCPCPS S1 1S S0 0R RC Cv vi i- -V VRefRefL L0 0L L1 1V VL LCPCPFFFFA A1 1QQ0 0QQ1 1QQn-1n-1d d1 1d d0 0d dn-1n-1QQA A数字量输出数字量输出数字量输出数字量输出n n位计数器位计数器位计数器位计数器 R RD Dv vOOV VL Lv vOOCPCP0 00 00 0t tt tt tT T1 1T T2 2v vI I减小时减小时减小时减小时 两次积分时两次积分时两次积分时两次积分时vOvO的变化量应相等,的变化量应相等,的

186、变化量应相等,的变化量应相等,即:即:即:即: 由于由于由于由于T T1 1是固定的是固定的是固定的是固定的( (由计数器容量决定由计数器容量决定由计数器容量决定由计数器容量决定) ),所以:,所以:,所以:,所以:特点:特点:特点:特点: 性能稳定,抗干扰能力强。转换速度低,一般为几十次性能稳定,抗干扰能力强。转换速度低,一般为几十次性能稳定,抗干扰能力强。转换速度低,一般为几十次性能稳定,抗干扰能力强。转换速度低,一般为几十次/ /秒。秒。秒。秒。8.3.58.3.5 ADC ADC的转换精度与转换误差的转换精度与转换误差的转换精度与转换误差的转换精度与转换误差一、转换精度一、转换精度一、转换精度一、转换精度分辨率分辨率分辨率分辨率 如:如:如:如:1010位等。位等。位等。位等。 转换误差转换误差转换误差转换误差 如:如:如:如:(1/2)LSB(1/2)LSB等。等。等。等。 二、转换速度二、转换速度二、转换速度二、转换速度ThanksThanks

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 教学/培训

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号