数字逻辑题库

上传人:s9****2 文档编号:571260850 上传时间:2024-08-09 格式:PDF 页数:29 大小:1.30MB
返回 下载 相关 举报
数字逻辑题库_第1页
第1页 / 共29页
数字逻辑题库_第2页
第2页 / 共29页
数字逻辑题库_第3页
第3页 / 共29页
数字逻辑题库_第4页
第4页 / 共29页
数字逻辑题库_第5页
第5页 / 共29页
点击查看更多>>
资源描述

《数字逻辑题库》由会员分享,可在线阅读,更多相关《数字逻辑题库(29页珍藏版)》请在金锄头文库上搜索。

1、 数字逻辑 试题库 题型说明 各章内容 符号 名称 分数 答题说明 章号 内容 章号 内容 章号 内容 A 填空题 2 请将其中一个正确选项写在答题卡相应位置上 01 基础 07 13 B 选择题 2 请将其中一个正确答案写在答题卡相应位置上 02 逻辑代数 08 14 C 化简题 10 请将程序代码写在答题卡相应位置上 03 逻辑电路 09 15 D 分析题 7 04 时序电路 10 16 E 设计题 10 05 其它 11 17 06 12 18 0001 01A1 十进制数D转化为二进制数是_,对应的十六进制数是 _。 000111001 C.1 0002 01A1 十进制数D转化为二进

2、制数是_,对应的十六进制数是 _。 0003 01A1 (39)10=( )2 ;(87)10=( )8421BCD 0003100111 0004 01A1 十进制数 35 转换成二进制数是_;十六进制数是_。 0004100011 23 0005 01A1 用 8421 码表示的十进制数 65,可以写成_。 0001 0006 01A1 十进制数(33)D转化为二进制数是_,对应的十六进制数是 _。 0006100001 21 0007 01A1(66)10=( )2 ;(68)10=( )8421BCD 000 01101000 0008 02A1 逻辑代数中最基本的三种逻辑运算是_、_

3、和_。 0008 与、或、非 0009 04A1 含用触发器的数字电路属于 (组合逻辑电路、时序逻辑电路) 。 0009 时序逻辑电路 0010 02A1AB +A 在四变量卡诺图中有个 小格是“1”。 0010 8 0011 03A1 七段码显示器有共阴极和共阳极两种接法,若 a-g 各段输入 0010010 时,显示 2 的字形,则其采用共_极接法。 0011 阳 0012 03A1 一个 16 选 1 数据选择器,应具有 _ 个选择输入端(地址输入端) _ 个数据输入端。 00124 16 0013 04A1JK 触发器的特性方程是_。 0013J-Qn+-KQn 0014 02A1 当

4、变量 ABC 分别为 100 时,AB+BC=_。 00140 0015 02A1 当ij时,同一逻辑函数的两个最小项ij_。 00150 0016 04A1 一个触发器有_个稳定状态,可以表示_位二进制信息。 00162 1 0017 04A1JK 触发器 J 与 K 相接作为一个输入时相当于_触发器。 0017T 0018 04A1 常用的触发方式,一般有电平触发和边沿触发。其中, 触发可以有效地避免空翻现象。 0018 边沿 0019 03A1 下图所示电路输出 F 为_。 ABABF 0019A-B+-AB 0020 05A1A/D 转换是指 。 0020 模拟数字转换 0021 04

5、A1 基 本 RS 触 发 器 的 “ 0 ” 和 “ 1 ” 态 是 以 ( 输 入 , 输 出 ) 端 的 状 态 定 义 的 , 其 逻 辑 函 数为 。 0021 输出 0022 04A1 一个触发器可以表示_位二进制信息。 00221 0023 02A1AB+BC 在四变量卡诺图中有个 小格是“1”。 00237 0024 02A1 当变量 ABC 分别为 101 时,ABC+A=_。 00241 0025 02A1 当ij时,同一逻辑函数的两个最大项 Mi+Mj_。 00251 0026 02A1 逻辑函数 ZCBACBACBA的对偶式为_反函数Z=_。 0026(A + B +

6、C)(A + B + C)(A + B + C) (A + B + C)(A + B + C)(A + B + C) 0027 02A1ABC +AD 在四变量卡诺图中有个 小格是“1”。 00275 0028 04A1 下图所示触发器的特征方程为_。 JQQKSETCLRACP 0028AQn 0029 04A1 组成一个模为 10 的计数器,至少需要_个触发器。 00294 0030 02A1 当变量 ABC 分别为 101 时,CBABCA =_ 。 00301 0031 02A1 逻辑变量的异或表达式为:_BA。 0031BABA 0032 02A1 A0= 。 0032A 0033

7、04A1 同步 RS 触发器的特性方程为:Qn+1=_。 0033nQSR 0034 02A1N 个逻辑变量构成某个逻辑函数,则其完整的真值表应有 种不同的组合。 0034n2 0035 02A1AB+BC 在四变量卡诺图中有个 小格是“1”。 00357 0036 02A1 当ij时,同一逻辑函数的两个最大项 Mi+Mj_。 00361 0037 03A1 下图所示电路输出 F 为_。 ABCF 00370 0038 02A1ABC +AD 在四变量卡诺图中有个 小格是“1”。 00385 0039 04A1 组成一个模为 7 的计数器,至少需要_个触发器。 00393 0040 02A1

8、当变量 ABC 分别为 101 时,CBABCA+C =_ 。 00401 0041 02A1 逻辑变量的同或表达式为:AB=_。 0041BAAB 0042 02A1N个逻辑变量构成某个逻辑函数,则其完整的真值表应有 种不同的组合。 0042n2 0043 05A1D/A 转换是指 。 0043 数字模拟转换 0044 02A1F(A,B,C)=A 在三变量卡诺图中有 个小格是“1”。 00448 0045 02A1 BCCAAB 。 0045CAAB 0046 02A1 当变量 ABC 分别为 100 时,CABBCA=_。 00461 0047 02A1 逻辑函数 F(A,B,C)的两个

9、最小项50mm _。 00470 0048 02A1 逻辑函数 ZCABA 的对偶式为_反函数Z=_。 0048)(CABA )(CABA 0049 04A1 触发器的“0”和“1”态是以 (输入,输出)端的状态定义的,若 T 触发器的输入端 T=1,则输出次态Qn+1= 。 0049 输出 nQ 0050 03A18-3 线优先编码器 74LS148 的输入输出均为低电平有效,I0优先权最低,I7优先权最高,现输入为(I7为高位) ,则输出A2A1A0=_。 0050 001 0051 04A1 触发器的触发方式有电平触发和边沿触发两种,维持阻塞 D 触发器触发方式为 触发。 0051 边沿

10、 0052 02A1AB+BC 在 3 变量卡诺图中有个 小格是“1”。 00523 0053 03A1 下图所示电路输出 F 为_。 ABCF 0053CAB 0054 03A1 一个 4 选 1 数据选择器,应具有 _ 个选择输入端(地址输入端) 。当数据输入端输入数据 D3D2D1D0=1110 时,选择输入端为时 _ 数据选择器输出为 0。 0054 2 00 0055 02A1 当变量 ABC 分别为 101 时,ABC+CABBCA=_。 00551 0056 02A1 逻辑函数 ZCBACBA的对偶式为_反函数Z=_。 0056(A + B + C)(A + B + C) (A

11、+ B + C)(A + B + C) 0057 03A28-3 线优先编码器 74LS148 的输入输出均为低电平有效,I0优先权最低,I7优先权最高,现输入为(I7为高位) ,则输出A2A1A0=_。 0057010 0058 01B1 以下四种形式中可能为 8421BCD 码的是_。 A1001 .1101 C 0058A 0059 01B1 已知 A 的 ASCII 码为(65)D,若将其最高位设为奇校验位,则其对应的二进制码为_。 B. 11000001 C. D. 01001001 0059B 0060 02B1 一个逻辑电路有两个输入 X、Y 和一个输出 F,只有当 X=1、Y=

12、0 时,F=1,则 F=_。 A. XY B. XY +Y D. X+Y 0060 A 0061 03B1 在何种输入情况下,“与非”运算的结果是逻辑 0_。 A 全部输入是 0 B.任一输入是 0 C.仅一输入是 0 D.全部输入是 1 0061D 0062 04B1 时序逻辑电路的一般结构由组合电路与( )组成。 A全加器 B存储电路 C译码器 D选择器 0062B 0063 04B1 已知电路如图所示,设触发器初态为 0,则 Q 端输出波形为图中的( ) QQDCPABCDCP 0063C 0064 05B1 输入至少( )位数字量的 D/A 转换器分辨率可达千分之一。 A. 9 B.

13、10 C. 11 D. 12 0064B 0065 05B1 ROM 在运行时具有: ( ) A、只有读功能 B、只有写功能 C、既有读功能,又有写功能 D、没有读、写功能 0065A 0066 04B1 组合逻辑电路的特点是( ) A含有记忆元件 B、输出、输入间有反馈通路 C、电路输出与以前状态无关 D、全部由门电路构成 0066 C 0067 01B1 以下代码中为无权码的为 ( ) 。 A. 8421BCD 码 B. 2421BCD 码 C. 余三码 D. 格雷码 0067D 0068 01B1 用 8421 码表示的十进制数 45,可以写成_ A45 B. 101101BCD C.

14、01000101BCD D. 1011012 0068C 0069 02B1 在下列三个逻辑函数表达式中,_是最小项表达式。 ABABABAY),( B. CBCBABCACBAY),( C. CAABCBCACBADCBAY),( D. BCBABCACBAY),( 0069A 0070 01B1 用代码 01001001 表示十进制数 16,则它是( ) 码 码 C.余 3 码 D.格雷码 0070C 0071 02B1 N 个变量的逻辑函数应该有最小项_ 个 个 个 D. (2n-1)个 0071C 0072 04B1 要实现n1nQQ,JK 触发器的 J、K 取值应为_。 A J=0,

15、K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 0072D 0073 03B1 属于组合逻辑电路的是_。 A 触发器 B. 全加器 C. 移位寄存器 D. 计数器 0073B 0074 02B1 逻辑函数 F=BABA和 G=ABBA满足关系( ) A. F=G =G =G0 =G1 0074A 0075 04B1 JK 触发器在同步工作时,若现态 Qn=0,要求到达次态 Qn1=1,则应使 JK=_。 A 00 B 01 C 1X D X1 0075D 0076 04B1 四个触发器组成的环行计数器最多有_个有效状态。 B. 6 C. 8 D. 16 0076D 0

16、077 04B1 同步时序电路与异步时序电路的区别在于异步时序电路( ) A没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 0077B 0078 04B1 在下列电路中( )不是时序电路 A.计数器 B. 触发器 C.寄存器 D.编码器 0078D 0079 03B1 设某函数的表达式 F=A+B,若用 4 选 1 多路选择器(数据选择器)来设计,则数据端 D3 D2 D1D0的状态是( ) 。 (设 A 为权值高位) 0079B 0080 04B1 ( )触发器可以用来构成移位寄存器。 A. 基本 R-S B. 同步 R-S C. 同步 D D. 同步 J

17、K 0080C 0081 03B1 设两个四位二进制数 A3A2A1A0和 B3B2B1B0,问图示电路完成的功能是( ) A.两个四位二制数相加 B.两个四位二制数相减 C.两个四位二制数大小比较 D.两个四位二制数相同比较 FA3 B3 A2 B2 A1 B1 A0 B0 0081D 0082 01B1 已知 C 的 ASCII 码为(67)D,若将其最高位设为奇校验位,则其对应的二进制码为_。 01000011 B. 11000011 C. D. 01000001 0082A 0083 04B1 使用同步预置的模 10 集成计数器 74LS192 实现模 6 加法计数,预置值应设为( )

18、 A、6 B、5 C、4 D、3 0083C 0084 01B1 以下代码中为有权码的为 ( ) 。 A. 余 3 码 B. CRC 码 C. 奇偶较验码 D. 格雷码 0084A 0085 02B1 在何种输入情况下,“或非”运算的结果不是逻辑 0_。 A全部输入是 0 B.任一输入是 0 C.仅一输入是 0 D.全部输入是 1 0085D 0086 02B1 逻辑函数 F(A,B,C)=AB+BC+AC 的最小项标准式为( ) F(A,B,C)=m(0,2,4) B. F(A,B,C)=m(0,2,3,4) (A,B,C)=m(3,5,6,7) (A,B,C)=m(2,4,6,7) 008

19、6C 0087 02B1 逻辑函数 F=AB+CD 的真值表中,F=1 的个数有( )个 A2 B.4 0087 D 0088 02B1 已知逻辑函数CDABCF,下列情况中,肯定使 F=0 的是( ) AA=0 BC=1 =1 C=1 =1 D=0 =1 D=1 0088 D 0089 02C11、用公式法化简 Y=ABC+A+B+C+D Y=ABC+AB+ABC 2、用卡诺图化简 F=m (2,3,6,7,8,10,12,14) 0089Y=BC+A+B+C+D=A+B+C+C+D=1 Y=AB(C+C)+AB=B(A+A)=B F=AC+AD 0090 02C1 1、用公式法化简 AED

20、CADCBAABDY1 BACCAY 2、用卡诺图化简 F(A,B,C,D)=m(0,2,4, 5,8,12) 0090Y=A(1+)=A Y=C+A+AB=C+A+B Y=C D+A B D+A B C 0091 02C11、用公式法化简 DCBCDABAY CDDACABCCAY 2、用卡诺图化简 Y(A,B,C,D)= CBCCACAB 0091Y=A+B+D(AC+1)+BC=A+B+C+D Y=A(B+C)+C(A+D)=A+AB+CD=A+CD Y=AB+BC+AC 或 AB+AC+BC 0092 02C11、用公式法化简 F=(A+B)C+AC+AB+ABC+BC CDDACAB

21、CCAY 2、用卡诺图化简 F(A,B,C,D)= m(0,1,2,3,4,5,8,10,11,12) 0092F= AC+BC+AB Y=A(B+C)+C(A+D)=A+AB+CD=A+CD F=B C+A C+C D 0093 02C11、用公式法化简 F=(A+B)C+AC+AB+ABC+BC CDDACABCCAY 2、用卡诺图化简 F(A,B,C,D)= m(0,1,5,7,8,9,11,14) 0093F= AC+BC+AB Y=A(B+C)+C(A+D)=A+AB+CD=A+CD F=B C+ABD+ABD+ABCD 0094 02C1 1、用公式法化简 Y=(A+B)(AB)

22、Y=ABC+ACD+AC+CD 2、用卡诺图化简 F=m (0,1,2,5,8,9,10) 0094Y=AB Y=A(B+C)+C(A+D)=A+AB+CD=A+CD F=ACD+BCD+ABC 0095 02C1 1、用公式法化简 AEDCADCBAABDY1 CDDACABCCAY 2、用卡诺图化简 F(A,B,C,D)= m(0,2,4, 5,8,12,13) 0095Y=A Y=A(B+C)+C(A+D)=A+AB+CD=A+CD F=C D+BC+A B D 0096 03D1 写出图示电路的最简与或表达式,列出真值表,并分析电路的逻辑功能。 ABF 0096F=AB+A B A B

23、 F 0 0 1 0 1 0 1 0 0 1 1 1 同或逻辑 0097 04D1 时序电路分析: 要求:1、该电路是同步时序电路还是异步时序电路写出驱动方程。写出状态方程。 QQSETCLRDQQSETCLRDCPQ0Q101 (1) (2) D0= D1= (3) 10nQ 11nQ 0097 异步时序电路 (1) (2) nQD00 nQD11 (3) (4) nnQQ010 nnQQ111 cp1=nQ0 0098 03D1 写出图示电路的逻辑表达式,列出真值表,并分析电路的逻辑功能。 ACBF 0098 )(CBBCAF A B C F 0 0 0 0 0 0 1 1 0 1 0 1

24、 0 1 1 0 1 0 0 1 1 0 1 0 1 1 0 0 1 1 1 1 奇数个 1 时输出为 1,否则为 0。 0099 04D1 试写出图示电路的激励方程,状态表。 (设 Q3Q2Q1=000) D QQD QQD QQQ1Q2Q3CP 激励方程 D1= D2= D3= 状态表 Q3n Q2n Q1n Q3n+1 Q2n+1 Q1n+1 0 0 0 0099D1=Q3n D2=Q1n D3=Q2n(Q3n+Q1n) Q3n Q2n Q1n Q3n+1 Q2n+1 Q1n+1 0 0 0 0 0 1 0 0 1 0 1 1 0 1 0 0 0 1 0 1 1 1 1 1 1 0 0

25、0 0 0 1 0 1 0 1 0 1 1 0 1 0 0 1 1 1 1 1 0 0100 03D1 写出图示电路的最简与或表达式,列出真值表。 ABC 0100CBABCF A B C F 0 0 0 1 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0101 03D1 写出图示电路的最简与或表达式,列出真值表,并分析电路的逻辑功能。 ABF 0101 BAABF A B F 0 0 1 0 1 0 1 0 0 1 1 1 同或逻辑 0102 04D1 时序电路分析:要求:1、该电路是同步时序电路还是异步时序电路 2、写出驱

26、动方程。 3、写出状态方程。4、列出状态转换表,分析电路功能。 JQQKSETCLRJQQKSETCLR1Q1Q2CP2 (1) (2) J1= K1= J2= K2= cp2= (3) 11nQ 12nQ 0102 异步时序电路 J1=1 K1=1 J2=Q1n K2=1 CP2= Q1n nnQQ111 nnnQQQ2112 Q1n Q2n cp1 Q1n+1 Q2n+1 cp2 O 0 1-0 1 0 0-1 1 0 1-0 0 1 1-0 0 1 1-0 1 1 0-1 1 1 1-0 0 0 1-0 4 进制计数器 0103 04D1 试写出图示电路的激励方程,状态表。 (设 Q3Q

27、2Q1=000) D QQD QQD QQQ1Q2Q3CP123 激励方程 D1= D2= D3= 状态表 Q3n Q2n Q1n Q3n+1 Q2n+1 Q1n+1 0 0 0 0103 nnnnQQDQDQD1332231 Q3n Q2n Q1n Q3n+1 Q2n+1 Q1n+1 0 0 0 1 0 1 0 0 1 0 0 1 0 1 0 1 1 1 0 1 1 0 1 1 1 0 0 0 0 0 1 0 1 0 0 0 1 1 0 0 1 0 1 1 1 0 1 0 0104 04D1 试写出图示电路的激励方程,状态转换表。 (设 Q3Q2Q1=000) JQQKSETCLR2JQQK

28、SETCLRJQQKSETCLR3Q1 Q2 Q31CP 激励方程 J1= J2 = J3= K1= K2= K3= 状态转换表 Q3n Q2n Q1n Q3n+1 Q2n+1 Q1n+1 0 0 0 0104nQJ31 nQJ12 nQJ23 nQk31 nQk12 nQk23 0105 03E1 用 4 输入与非门和 138 译码器实现下表所示的逻辑函数。列出逻辑函数表达式,画逻辑电路图。(7 分)。 A B C F 0 0 0 0 0 0 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0 0 1 1 1 1 Y0Y7Y6Y5Y4Y3Y2Y1G2AG2BG

29、1A0A2A1 0105F=m4+m5+m6+m7 A0=C A1=B A2=A 138 译码器最高 4 个输出端分别接 4 输入与非门的输入端,F 为输出端 Y0Y7Y6Y5Y4Y3Y2Y1G2AG2BG1A0A2A1 ABC 0106 03E1 选择适当逻辑器件,设计 3 人表决判决电路,判决规则为少数服从多数,既 2 人以上同意才为同意 。要求:列真值表,写出逻辑表达式,画逻辑电路图。(8 分) 0106 A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 F=m3+m5+m6+m7 Y0Y7Y6

30、Y5Y4Y3Y2Y1G2AG2BG1A0A2A1 ABC 0107 03E1 用与非门设计三变量的多数表决电路。当输入变量 A、B、C 有 2 个或 2 个以上为 1 时输出 F 为 1,否则输出为 0。(7 分)。 0107 A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 3567FmmmmABCABCABCABC 0108 03E1 分别用 3-8 译码器 74LS138 和数据选择器 74LS151 实现BABACACBAY),( (8 分) Y0Y7Y6Y5Y4Y3Y2Y1G2AG2BG1A

31、0A2A1D0D7D6D5D4D3D2D1YYA0A2A1S 0108 64532( , ,)Y A B CACABABABCABCABCABCABCABCABCABCABCABCABCmmmmm 0109 03E1 试用 138 译码器实现一位全加器。被加数为 Ai,加数为 Bi,低位来的进位为 Ci-1,和数为 Si,本位对高位的进位为 Ci。 求(1)列出真值表 (2)写出 Si、Ci的表达式 (3)正确画出逻辑图 (7 分)。 0109 Ai Bi Ci-1 Si Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0

32、1 1 1 0 0 1 1 1 1 1 1 Si=m1+m2+m4+m7 Ci=m3+m5+m6+m7 0110 03E1 选择适当逻辑器件,设计一个检测电路,当输入的 8421BCD 码(B3B2B1B0)数值为 2,3,6 时,输出为 1,否则输出为 0,输入只有原变量, (要有设计和化简过程,画出逻辑图) 。 (8 分) 0110 B3 B2 B1 B0 D 0 0 0 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 F=m2+m3+m6+d10+d

33、11+d12+d13+d14+d15=BCCD 0111 03E1 用与非门设计一个组合电路,用来检测并行输入的四位二进制数 B4B3B2B1当其值大于或等于 5 时,输出 F=1,反之 F=0。输入端只有原变量可用。画出逻辑图。 (7 分)。 0111 B3 B2 B1 B0 D 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 F=m5+m6+m7+m8+m9+d10+d11+d12+d13+d14+d15=A+BD+BC 0112 03E1

34、 分别用 3-8 译码器 74LS138 和数据选择器 74LS151 实现逻辑函数表达式 F(A,B,C)=AB+BC+AC (8 分) Y0Y7Y6Y5Y4Y3Y2Y1G2AG2BG1A0A2A1D0D7D6D5D4D3D2D1YYA0A2A1S 0112F=ABC+ABC+ABC+ABC=m7+m6+m3+m5 利用 138 译码器实现时 A0=C A1=B A2=A Y7,Y6,Y5,Y3接四输入与非门输入端 利用 151 实现 A0=C A1=B A2=A D0,D1,D2,D4接 0,其余接 1,Y 作为输出端。 0113 03E1 选择适当逻辑器件,实现函数 F(A,B,C)=m

35、(0,1,4,7) (8 分) 0113 利用 151 实现 A0=C A1=B A2=A D0,D1,D4,D7 接 1,其余接 0,Y 作为输出端。 或利用 138 译码器实现。 0114 03E1 选择适当逻辑器件,设计 3 人表决判决电路,判决规则为少数服从多数,既 2 人以上同意才为同意 。要求:列真值表,写出逻辑表达式,画逻辑电路图。(8 分) 0114 A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 F=m3+m5+m6+m7 利用 151 实现 A0=C A1=B A2=A D0,D

36、1,D2,D4 接 0,其余接 1,Y 作为输出端。 0115 03E1 用与非门设计三变量的多数表决电路。当输入变量 A、B、C 有 2 个或 2 个以上为 1 时输出 F 为 1,否则输出为 0。(7 分)。 0115 A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 F=m3+m5+m6+m7=AB+BC+AC 利用三个二输入与非门,一个三输入与非门实现 FABBCAC 0116 03E1 分别用 3-8 译码器 74LS138 和数据选择器 74LS151 实现BABACACBAY),( (8

37、 分) Y0Y7Y6Y5Y4Y3Y2Y1G2AG2BG1A0A2A1D0D7D6D5D4D3D2D1YYA0A2A1S 0116 64532FABCABCABCABCABCmmmmm 利用 138 译码器实现时 A0=C A1=B A2=A Y4,Y6,Y5,Y3,Y2 接五输入与非门输入端 利用 151 实现 A0=C A1=B A2=A D2,D3,D4,D5,D6 接 1,其余接 0,Y 作为输出端。 0117 03E1 试用 3/8 译码器和尽可能少的门电路实现一位全加器。被加数为 Ai,加数为 Bi,低位来的进位为 Ci-1,和数为 Si,本位对高位的进位为 Ci。 求(1)列出真值

38、表 (2)写出 Si、Ci的表达式 (3)正确画出逻辑图 (7 分)。 0117 Ai Bi Ci-1 Si Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 Si=m1+m2+m4+m7 Ci=m3+m5+m6+m7 0118 03E1 选择适当逻辑器件,设计一个检测电路,当输入的 8421BCD 码(B3B2B1B0)数值为 2,3,6 时,输出为 1,否则输出为 0,输入只有原变量, (要有设计和化简过程,画出逻辑图) 。 (8 分) 0118 B3 B2 B1 B0 D

39、0 0 0 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 1 0 1 1 1 0 1 0 0 0 0 1 0 0 1 0 F=m2+m3+m6+d10+d11+d12+d13+d14+d15 =ABCBCD 0119 03E1 用与非门设计一个组合电路,用来检测并行输入的四位二进制数 B4B3B2B1当其值大于或等于 5 时,输出 F=1,反之 F=0。输入端只有原变量可用。画出逻辑图。 (7 分)。 0119 B3 B2 B1 B0 D 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0

40、 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1 F=m5+m6+m7+m8+m9+d10+d11+d12+d13+d14+d15=A+BD+BC 0120 03E1 分别用 3-8 译码器 74LS138 和数据选择器 74LS151 实现逻辑函数表达式 F(A,B,C)=AB+BC (8 分) Y0Y7Y6Y5Y4Y3Y2Y1G2AG2BG1A0A2A1D0D7D6D5D4D3D2D1YYA0A2A1S 0120 763YABCABCABCmmm 利用 138 译码器实现时 A0=C A1=B A2=A Y7,Y6,

41、Y3接三输入与非门输入端 利用 151 实现 A0=C A1=B A2=A D3,D6 ,D7 接 1,其余接 0,Y 作为输出端。 0121 03E1 试用集成译码器设计一个逻辑判断电路,其输入变量为 A、B、C,输出为 Y。若 A、B、C 相等时 Y=1,否则为 0(7 分)。 0121YABCABC 利用 138 译码器实现时 A0=C A1=B A2=A Y7 ,Y0 接 2 输入与非门输入端 0122 03E1 用 3-8 译码器 74LS138 实现( , ,)Y A B CABC (8 分) 0122 75643YABCABCABCABCABCmmmmm 利用 138 译码器实现

42、时 A0=C A1=B A2=A Y7 ,Y6,Y5,Y4,Y3 接 5 输入与非门输入端 0123 03E1 用与非门和 138 译码器实现下表所示的逻辑函数 F(A,B,C) 。列出逻辑函数表达式,画逻辑电路图。(7 分)。 A B C F A B C F 0 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 0 Y0Y7Y6Y5Y4Y3Y2Y1G2AG2BG1A0A2A1 0123F=m2+m4+m6 利用 138 译码器实现时 A0=C A1=B A2=A Y6,Y4,Y2接三输入与非门输入端 0124 03E1 试

43、用 138 译码器设计一个组合电路,判断一个 3 位二进制数是否有奇数个 1 。要求:列真值表,写出逻辑表达式,画逻辑电路图。(8 分) 0124 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 0 0 1 1 0 1 0 1 1 0 0 1 1 1 1 1247FmmmmABCABCABCABC 利用 138 译码器实现时 A0=C A1=B A2=A Y7,Y4,Y2,Y1接四输入与非门输入端 0125 03E1 分别用 3-8 译码器 74LS138 和数据选择器 74LS151 实现BCABACACBAY),( (8 分) Y0Y7Y6Y5Y4Y3Y

44、2Y1G2AG2BG1A0A2A1D0D7D6D5D4D3D2D1YYA0A2A1S 0125 YABCABCABCABC=m5+m4+m6+m3 利用 138 译码器实现时 A0=C A1=B A2=A Y4,Y6,Y5,Y3接四输入与非门输入端 利用 151 实现 A0=C A1=B A2=A D0,D1,D2,D7接 0,其余接 1,Y 作为输出端。 0126 04E2 用正边沿 D 触发器设计一可控同步计数器,控制信号 X1 时实现 4 进制加法计数,X0 时实现 4 进制减法计数。 要求:画出状态图、次态卡诺图,写出激励函数,画出电路图。(15 分) 0126 00111001111

45、10000 Q1n+1 X Q1Q0 00 01 11 10 0 1 0 1 0 1 0 1 0 1 Q0n+1 X Q1Q0 00 01 11 10 0 1 0 0 1 1 1 0 0 1 1110101010nnnnnnnnnQQ Q XQ Q XQ Q XQ Q X 100nnQQ 110101010nnnnnnnnDQ Q XQ Q XQ Q XQ Q X 00nDQ 0127 04E2 用 JK 触发器设计一可控同步计数器,控制信号 X1 时实现 4 进制加法计数,X0 时实现 4 进制减法计数。 要求:画出状态图、次态卡诺图,写出激励函数,画出电路图。(15 分) 0127 001

46、1100111110000 Q1n+1 X Q1Q0 00 01 11 10 0 1 0 1 0 1 0 1 0 1 Q0n+1 X Q1Q0 00 01 11 10 0 1 0 0 1 1 1 0 0 1 1110101010nnnnnnnnnQQ Q XQ Q XQ Q XQ Q X 100nnQQ 110nJKQX J0=K0=1 0128 04E2 用正边沿 D 触发器设计一可控计数器,控制信号 X1 时实现 3 进制加法计数,X0 时实现 3 进制减法计数。 要求:画出状态图、次态卡诺图,写出激励函数,画出电路图。(15 分) 0128 001001111000 Q1n+1 X Q1

47、Q0 00 01 11 10 0 1 0 d 0 1 0 1 d 0 Q0n+1 X Q1Q0 00 01 11 10 0 0 0 d 1 1 1 0 d 0 11100nnnnQQ Q XQ X 10101nnnnQQ Q XQ X 1100nnnDQ Q XQ X 0101nnnDQ Q XQ X 0129 04E2 用 JK 触发器和必要的逻辑门,设计一位可控的模4 加减计数器,当模式控制信号M=0 时,作加法计数,当 M=1 时,作减法计数。要求:画出状态图、次态卡诺图,写出激励函数,画出电路图。(15 分) 0129 0011100110001110 Q1n+1 M Q1Q0 00

48、01 11 10 0 0 1 0 1 1 1 0 1 0 Q0n+1 M Q1Q0 00 01 11 10 0 1 0 0 1 1 1 0 0 1 1110101010nnnnnnnnnQQ Q MQ Q MQ Q MQ Q M 100nnQQ 110nJKQM J0=K0=1 0130 04E2 用正边沿 D 触发器设计一计数器,实现 5 进制加 1 计数。要求:画出状态图、次态卡诺图,写出激励函数,画出电路图。 0130 000011010001100 Q2n+1 Q0 Q2Q1 00 01 11 10 0 0 0 d 0 1 0 1 d d Q1n+1 Q0 Q2Q1 00 01 11

49、10 0 0 0 d 0 1 1 0 d d Q0n+1 Q0 Q2Q1 00 01 11 10 0 1 1 d 0 1 0 0 d d 1210nnnQQ Q 1110nnnQQ Q 1020nnnQQ Q 210nnDQ Q 110nnDQ Q 020nnDQ Q 0131 02A1 逻辑函数 ZA(B+C)的对偶式为_反函数Z=_。 0131A+BC CBA # # (结束标记) 以下无正文 仅供个人用于学习、研究;不得用于商业用途。 , , . For personal use only in study and research; not for commercial use. Nur fr den pers nlichen fr Studien, Forschung, zu kommerziellen Zwecken verwendet werden. Pour l tude et la recherche uniquement des fins personnelles; pas des fins commerciales.

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑/环境 > 施工组织

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号