集成电路前道工艺、设备及市场分析-终

上传人:人*** 文档编号:570063656 上传时间:2024-08-01 格式:PPT 页数:81 大小:4.95MB
返回 下载 相关 举报
集成电路前道工艺、设备及市场分析-终_第1页
第1页 / 共81页
集成电路前道工艺、设备及市场分析-终_第2页
第2页 / 共81页
集成电路前道工艺、设备及市场分析-终_第3页
第3页 / 共81页
集成电路前道工艺、设备及市场分析-终_第4页
第4页 / 共81页
集成电路前道工艺、设备及市场分析-终_第5页
第5页 / 共81页
点击查看更多>>
资源描述

《集成电路前道工艺、设备及市场分析-终》由会员分享,可在线阅读,更多相关《集成电路前道工艺、设备及市场分析-终(81页珍藏版)》请在金锄头文库上搜索。

1、集成集成电路前道工路前道工艺、设备及市及市场分析分析梅林梅林. 目目目目录录u一、集成电路核心组件简介u二、半导体前道工艺u三、半导体前道设备市场分析u四、机遇与挑战. 什么是集成什么是集成什么是集成什么是集成电电路路路路麒麟麒麟980980uu集成集成电电路(路(ICIC)就是一种微型)就是一种微型电电子器件或部件子器件或部件的的总总和,将所有元器件和和,将所有元器件和连连接接线线制作在同一基制作在同一基板上,板上,组组成的系成的系统统。uu半半导导体体产业产业的两种模式:集成制造模式的两种模式:集成制造模式(IDMIDM)和垂直分工模式)和垂直分工模式R RC C. 电电阻阻阻阻氧化膜氧化

2、膜pnnP型型扩散散层(电阻)阻)基区基区扩散散电阻阻VCCLw. 电电容容容容氧化膜氧化膜pN+平板型平板型电容容铝电极极N-epi隔离槽隔离槽N叠式叠式结构构电容容氧化膜氧化膜电容极板容极板n. PNPN结结晶体管晶体管晶体管晶体管NPNNPN型双极性晶体管型双极性晶体管型双极性晶体管型双极性晶体管BECpn+n-epin+P-SiP+P+S发射区射区(N+型型)基区基区(P型型)集集电区区(N型外延型外延层)衬底底(P型型)n+-BLnpnBECCBENPNBEC. CMOSCMOS晶体管晶体管晶体管晶体管Sisourcedraingategateoxideoxideoxideoxide

3、上上层的氮化物的氮化物金属金属连接的源极接的源极金属金属连接的接的栅极极漏极金属漏极金属连接接多晶硅多晶硅栅极极掺杂的多晶硅的多晶硅氧化氧化层栅极氧化极氧化层源极源极(S)漏极漏极(D)栅极极(G)n+n+P型硅基板型硅基板栅极(多晶硅)极(多晶硅)绝缘层(SiO2)半半导体体基基板板漏极漏极源极源极. 目目目目录录u一、集成电路核心组件简介u二、半导体前道工艺u三、半导体前道设备市场分析u四、机遇与挑战. silicon substrate芯片制造芯片制造芯片制造芯片制造过过程程程程清洗清洗清洗清洗uuFabFab内内ICIC制造的流程非常复制造的流程非常复杂杂,但其,但其实实ICIC制造就

4、只做一件事而已:把掩模上的制造就只做一件事而已:把掩模上的电电路路图转图转移到晶移到晶圆圆上。上。. silicon substrateoxideoxide氧化氧化层氧化氧化氧化氧化. silicon substrateoxideoxidephotoresistphotoresist涂胶涂胶涂胶涂胶. Shadow on photoresistphotoresistphotoresist曝光区曝光区掩模版掩模版Ultraviolet Lightsilicon substrateoxideoxide光刻光刻光刻光刻. 非感光区域非感光区域silicon substrate感光区域感光区域oxid

5、eoxidephotoresistphotoresist光刻后光刻后光刻后光刻后. silicon substrateoxideoxidephotoresistphotoresist显显影影影影. silicon substrateoxideoxideoxideoxidesilicon substratephotoresistphotoresist刻刻刻刻蚀蚀. silicon substrateoxideoxideoxideoxidesilicon substrate去胶去胶去胶去胶. silicon substrateoxideoxideoxideoxidegate oxidegate o

6、xide沉沉积氧化氧化层沉沉沉沉积栅积栅极氧化极氧化极氧化极氧化层层. silicon substrateoxideoxideoxideoxide栅极氧化极氧化层栅极氧化极氧化层栅栅极氧化极氧化极氧化极氧化层层. silicon substrateoxideoxideoxideoxide多晶硅多晶硅多晶硅多晶硅栅极氧化极氧化层多晶硅多晶硅多晶硅多晶硅栅栅极沉极沉极沉极沉积积. silicon substrateoxideoxideoxideoxidegategategate栅极氧化极氧化层多晶硅多晶硅栅极极刻刻刻刻蚀蚀形成多晶硅形成多晶硅形成多晶硅形成多晶硅栅栅极极极极. silicon su

7、bstrateoxideoxideoxideoxidegategategatephotoresistphotoresist离子注入形成源极离子注入形成源极sourcedrain离子源离子源光刻胶在离子注入后去除光刻胶在离子注入后去除离子注入离子注入离子注入离子注入. silicon substrateoxideoxideoxideoxidegategategatesourcedrainCMOSCMOS晶体管晶体管晶体管晶体管. silicon substratesourcedraingategate沉沉沉沉积积氮化氮化氮化氮化层层氮化物氮化物. silicon substrategategat

8、e连接孔接孔drainsource刻刻刻刻蚀蚀出出出出连连接孔接孔接孔接孔. silicon substrategategate连接孔接孔drainsource沉沉沉沉积积金属金属金属金属线线. silicon substrategategatedrainsource连接点接点表面平坦化表面平坦化表面平坦化表面平坦化. 晶晶晶晶圆圆u晶晶圆圆(WaferWafer):主要指硅片):主要指硅片提提炼炼、纯纯化、拉晶、化、拉晶、滚滚磨,切片,倒角,抛光磨,切片,倒角,抛光纯纯度可以达到度可以达到99.99999999999.9999999994 4寸、寸、6 6寸、寸、8 8寸、寸、1212寸寸S

9、iCSiC、GaNGaN、GaAsGaAs、InPInP. 清洗清洗清洗清洗u清洗的目的是去除各种清洗的目的是去除各种污污染,占整个半染,占整个半导导体体流程的流程的33%33%u获获得最好的良率、器件性能和得最好的良率、器件性能和长长期的可靠性。期的可靠性。u安全、安全、简单简单、经济经济和和环环保保u超声波清洗机、刷洗器、等离子体清洗机、超声波清洗机、刷洗器、等离子体清洗机、浸洗式化学清洗站、浸洗式化学清洗站、喷喷洗式洗式单单箱化学清洗机箱化学清洗机等等. 氧化氧化氧化氧化u氧化目的是在硅片表面形成二氧化硅;氧化目的是在硅片表面形成二氧化硅;u用途用途杂质扩杂质扩散散掺杂掺杂的掩蔽膜的掩蔽

10、膜器件表面保器件表面保护护或或钝钝化膜化膜MOSMOS电电容的介容的介质质材料材料MOSFETMOSFET的的绝缘栅绝缘栅材料材料电电路隔离介路隔离介质质或或绝缘绝缘介介质质u湿法、干法湿法、干法u多片垂直氧化炉管、快速多片垂直氧化炉管、快速热热氧化炉氧化炉. 匀胶匀胶匀胶匀胶显显影影影影uu匀胶匀胶显显影机(影机(TrackTrack)主要用于光刻材料的)主要用于光刻材料的涂布、烘烤、涂布、烘烤、显显影、去离子水冲洗,也包影、去离子水冲洗,也包括晶括晶圆圆背面的清洗,背面的清洗,uu最新的最新的发发展更是把展更是把测测量量单单元集成到了元集成到了该设该设备备上。上。. 光刻光刻光刻光刻uu光

11、刻:将掩模版上的光刻:将掩模版上的图图形形转转移光刻胶上的移光刻胶上的过过程程uu按照技按照技术发术发展可以分展可以分为为接触式、接近式和投影式。接触式、接近式和投影式。uu按照光源可以分按照光源可以分为为:g g线线436nm436nm,常用在,常用在0.50.5 mm工工艺艺;i i线线365nm365nm,常用在,常用在0.350.35 mm工工艺艺;KrF248nmKrF248nm,0.250.25 m0.11m0.11 mmArF193nmArF193nm,7nm130nm7nm130nmEUV13.5nmEUV13.5nm,10nm10nm以以uu为为了突破衍射极限又分了突破衍射极

12、限又分为为干式和浸没式干式和浸没式uu为为了提高效率又可了提高效率又可为为步步进进重复式和步重复式和步进扫进扫描式描式. 刻刻刻刻蚀蚀uu刻刻蚀蚀:根据光刻去除不必要的区域的材料,使用:根据光刻去除不必要的区域的材料,使用设备为设备为刻刻蚀蚀机机uu湿法刻湿法刻蚀蚀:各向同性、工:各向同性、工艺简单艺简单、成本低、成本低uu干法刻干法刻蚀蚀:各向异性、分辨率高:各向异性、分辨率高等离子体刻等离子体刻蚀蚀溅溅射刻射刻蚀蚀反反应应离子刻离子刻蚀蚀. 掺杂掺杂uu掺杂掺杂:将:将杂质掺杂杂质掺杂到目到目标载标载体中,包括体中,包括非金属(非金属(B B、P P、AsAs)和金属()和金属(AlAl、

13、AuAu、PtPt). 沉沉沉沉积积uu沉沉积积可以分可以分为为物理气相沉物理气相沉积积(PVDPVD)、化学气相沉)、化学气相沉积积(CVDCVD)和原子)和原子层层沉沉积积(ALDALD)uuPVDPVD:III-VIII-V族化合物半族化合物半导导体工体工艺艺中仍被采用,速度快工中仍被采用,速度快工艺简单艺简单uuCVDCVD:可以沉:可以沉积积几乎所有薄膜,几乎所有薄膜,SiOSiO2 2、SiSi3 3N N4 4、绝缘绝缘介介质质、金属、金属、单单晶硅(外延)等。晶硅(外延)等。uuALDALD:原子:原子层层沉沉积积是一种可以将物是一种可以将物质质以以单单原子膜形式一原子膜形式一

14、层层一一层层的的镀镀在基底表面的方在基底表面的方法法uu蒸蒸发设备发设备、溅溅射射设备设备、常、常压压CVDCVD、低、低压压CVDCVD、PECVDPECVD和和ALDALD设备设备等等PVDCVDALD. CMPCMPuuCMP:CMP:化学机械平坦化或化学机械抛光,化学机械平坦化或化学机械抛光,表面全局平坦化的技表面全局平坦化的技术术uu目的:保目的:保证证沉沉积积后表面的平整度,后表面的平整度,为为下一下一步工序做准步工序做准备备. 检测检测uu检测检测根据根据测试测试目的可以目的可以细细分分为为量量测测和和检测检测uu量量测测主要是主要是对对芯片的薄膜厚度、关芯片的薄膜厚度、关键键尺

15、寸、尺寸、膜膜应应力、力、掺杂浓掺杂浓度等材料性度等材料性质进质进行行测测量量uu检测检测主要用于主要用于识别识别并定位并定位产产品表面存在的品表面存在的杂杂质颗质颗粒沾粒沾污污、机械划、机械划伤伤、晶、晶圆图圆图案缺陷等案缺陷等问问题题。uu椭椭偏偏仪仪、四探、四探针电针电阻阻仪仪、AFMAFM、SEMSEM、热热波系波系统统、显显微微镜镜等等. 目目目目录录u一、集成电路核心组件简介u二、半导体前道工艺u三、半导体前道设备市场分析u四、机遇与挑战. 技技技技术术革新革新革新革新带带来了成本的增加来了成本的增加来了成本的增加来了成本的增加uu晶晶圆圆代工厂加工工代工厂加工工艺艺从从2828纳

16、纳米米发发展到展到7 7纳纳米,制造米,制造设备设备支出增加支出增加100%100%; 数据来源:应用材料,西南证券整理. 半半半半导导体体体体设备设备占主要支出比占主要支出比占主要支出比占主要支出比uu1212寸寸线线、产产能能5 5万片万片/ /月,各月,各类设备类设备500500台,周期台,周期2 2年年5050台光刻机台光刻机1010台大束流离子束注入机,台大束流离子束注入机,8 8台中束流离子注台中束流离子注入机,入机,4040台刻台刻蚀蚀机,机,3030台薄膜沉台薄膜沉积设备积设备数据来源:中国报告网. 晶晶晶晶圆圆uu日本信越日本信越 市市场场占有率占有率28%28%uu日本日本

17、胜胜高高 市市场场占有率占有率25%25%uu台湾台湾环环球晶球晶圆圆 市市场场占有率占有率17%17%uu德国世德国世创创 市市场场占有率占有率15%15%uu韩韩国国LGLG市市场场占有率占有率9%9%uu国内的有:上海新昇、重国内的有:上海新昇、重庆庆超硅、超硅、北京有研半北京有研半导导体材料和浙江金瑞体材料和浙江金瑞泓科技泓科技数据来源:中国报告网. 清洗清洗清洗清洗设备设备市市市市场场uu日本日本ScreenScreen(迪恩士)市(迪恩士)市场场占有率占有率52%52%uu日本日本TELTEL(东东京京电电子)市子)市场场占有率占有率20%20%uu美国美国LamLam(泛林半(泛

18、林半导导体)市体)市场场占有率占有率13%13%uu韩韩国国SEMESSEMES(细细美事,三星的子公司)市美事,三星的子公司)市场场占有率占有率10%10%uu盛美半盛美半导导体(体(ACMACM) 市市场场占有率占有率3%3%uu北方北方华创华创、至、至纯纯科技等科技等数据来源:Screen. 清洗清洗清洗清洗龙头龙头- -迪恩士迪恩士迪恩士迪恩士uu迪恩士十分注重研迪恩士十分注重研发发,20172017年的研年的研发费发费用用为为8282亿亿日元,日元, 占企占企业资业资本支出的本支出的46%46%;uu迪恩士迪恩士拥拥有的有的专专利数量利数量庞庞大,大,20172017年迪恩士共年迪恩

19、士共拥拥有有44184418件件专专利,其中来自日本利,其中来自日本本土的本土的专专利利21152115件,件, 来自海外的来自海外的专专利利23032303件。件。 数据来源:Screen数据来源:Screen. 清洗机国清洗机国清洗机国清洗机国产产情况情况情况情况- -盛美半盛美半盛美半盛美半导导体体体体uu盛美半盛美半导导体目前的体目前的产产品主要是基于品主要是基于SAPSSAPS和和TEBOTEBO技技术术的的单单晶晶圆圆清洗清洗设备设备uu盛美半盛美半导导体的体的SAPSSAPS产产品受到国内外一流半品受到国内外一流半导导体制造商的体制造商的认认可,主要客可,主要客户户包括上包括上海

20、海华华力微力微电电子,子,SKSK海力士,中芯国海力士,中芯国际际,长长江存江存储储等等数据来源:盛美半导体. 清洗机国清洗机国清洗机国清洗机国产产情况情况情况情况- -北方北方北方北方华创华创和至和至和至和至纯纯科技科技科技科技uu北方北方华创华创:整合:整合AkrionAkrion,占据清,占据清洗机大市洗机大市场场uuSaquaSaqua单单片清洗机片清洗机进驻进驻中芯北方中芯北方2828纳纳米生米生产线产线uu北方北方华创华创清洗机清洗机设备设备下游市下游市场场涵涵盖整个泛半盖整个泛半导导体行体行业业uu至至纯纯科技:科技:20152015年开始启年开始启动动湿法湿法工工艺艺装装备备研

21、研发发,uu公司已公司已经经于于20172017年形成了年形成了UltronUltronB200B200和和 UltronB300UltronB300的槽式湿法的槽式湿法清洗清洗设备设备和和UltronS200UltronS200和和UltronUltronS300S300的的单单片式湿法清洗片式湿法清洗设备产设备产品品系列,并已系列,并已经经取得取得6 6台的批量台的批量订单订单。. 氧化氧化氧化氧化/ /扩扩散散散散设备设备uu日本的日立(日本的日立(HitachiHitachi)43.1%43.1%;uu日本的日本的东东京京电电子(子(TELTEL)37.9%37.9%uu荷荷兰兰先域(

22、先域(ASMASM)13.8%13.8% uu其他其他5.2%5.2%,包括:,包括:英国英国ThermcoThermco、CentrothermthermalSolutionCentrothermthermalSolution、ScreenScreen、LamLam、北方北方华创华创、青、青岛岛福福润润德、中德、中电电科科4848所、青所、青岛岛旭光旭光仪仪表表设备设备、中中电电科科4545所所数据来源:中国产业信息网. 光刻机市光刻机市光刻机市光刻机市场场分析分析分析分析uu荷荷荷荷兰兰ASMLASML市市市市场场占有率占有率占有率占有率75.3%75.3%uu日本日本日本日本NikonN

23、ikon市市市市场场占有率占有率占有率占有率11.3%11.3%uu日本佳能日本佳能日本佳能日本佳能CanonCanon市市市市场场占有率占有率占有率占有率6.2%6.2%uu其他其他其他其他7.2%7.2%数据来源:中国产业信息网数据来源:各公司官网总结. 2011-20172011-2017年度全球光刻机年度全球光刻机年度全球光刻机年度全球光刻机总销总销售情况售情况售情况售情况uu2011-20172011-2017累累累累计销计销售售售售销销售光刻机售光刻机售光刻机售光刻机19201920台,其中台,其中台,其中台,其中ASML1209ASML1209台,台,台,台,Nikon302Ni

24、kon302台,台,台,台,Canon409Canon409台。台。台。台。公司EUV光刻机(台)ArFi光刻机(台)ArF光刻机(台)KrF光刻机(台)i-line光刻机(台)总计(台)ASML26539494691261209Nikon0734664119302Canon00090319409总计26612956235641920数据来源:各公司官网总结. ASMLASML高端光刻机高端光刻机高端光刻机高端光刻机垄垄断者断者断者断者uuASMLASML的的的的转转折点浸没式光刻,折点浸没式光刻,折点浸没式光刻,折点浸没式光刻,20072007年推出第一台浸没式光刻机年推出第一台浸没式光刻机

25、年推出第一台浸没式光刻机年推出第一台浸没式光刻机数据来源:ASML官网. ASMLASML高端光刻机高端光刻机高端光刻机高端光刻机垄垄断者断者断者断者uu公司公司公司公司营业营业收入和收入和收入和收入和净净利利利利润润始始始始终终保持保持保持保持较较高水平。自高水平。自高水平。自高水平。自20162016年推出年推出年推出年推出EUVEUV设备设备后,后,后,后,营营收和收和收和收和净净利利利利润实现润实现大幅增大幅增大幅增大幅增长长,20182018年收入将近年收入将近年收入将近年收入将近100100亿亿欧元;欧元;欧元;欧元;uuASML2017ASML2017年研年研年研年研发费发费用高

26、达用高达用高达用高达1515亿亿美元,占美元,占美元,占美元,占营业营业收入比重收入比重收入比重收入比重为为14%14%,远远高于佳能与高于佳能与高于佳能与高于佳能与尼康的尼康的尼康的尼康的8%8%左右。左右。左右。左右。数据来源:ASML官网. Nikon&CanonNikon&Canonuu尼康:尼康:发挥发挥面板光刻比面板光刻比较优势较优势在在FPDFPD光刻方面,尼康光刻方面,尼康则则可可发挥发挥其比其比较优势较优势,尼康的机器范尼康的机器范围围广泛广泛采用独特的多采用独特的多镜头镜头投影光学系投影光学系统处统处理大型面理大型面板板uu佳能:光佳能:光电为电为主,光刻主,光刻为辅为辅尽

27、管光刻尽管光刻设备设备尤其是芯片光刻尤其是芯片光刻设备设备的的销销售量售量有有显显著上升,但价著上升,但价值值量量贡贡献却并无相同献却并无相同趋势趋势数据来源:Canon官网. 上海微上海微上海微上海微电电子子子子uu公司公司设备设备广泛于先广泛于先进进封装、封装、FPDFPD面板、面板、MEMSMEMS、LEDLED、PowerDevicesPowerDevices等制造等制造领领域。域。uu公司的封装光刻机在国内市占率高达公司的封装光刻机在国内市占率高达80%80%,全球市占率也可达到,全球市占率也可达到40%40%数据来源:SMEE官网. 刻刻刻刻蚀设备蚀设备市市市市场场分析分析分析分析

28、uu美国的泛林半美国的泛林半美国的泛林半美国的泛林半导导体(体(体(体(LAMLAM)52.7%52.7%;uu日本的日本的日本的日本的东东京京京京电电子(子(子(子(TELTEL)19.7%19.7%;uu美国的美国的美国的美国的应应用材料(用材料(用材料(用材料(AMATAMAT)18.1%18.1%;uuTOP3TOP3市市市市场场占率高达占率高达占率高达占率高达90.5%90.5%;其他;其他;其他;其他9.5%9.5%uu国内厂家有中微半国内厂家有中微半国内厂家有中微半国内厂家有中微半导导体、北方体、北方体、北方体、北方华创华创、上海新阳、沈阳芯源、上海新阳、沈阳芯源、上海新阳、沈阳

29、芯源、上海新阳、沈阳芯源、苏苏州州州州伟伟仕泰仕泰仕泰仕泰克、中克、中克、中克、中电电科科科科4848所所所所数据来源:中国产业信息网. 刻刻刻刻蚀蚀机市机市机市机市场场份份份份额变额变迁迁迁迁uu在在200mm200mm晶晶圆时圆时代;介代;介质质、硅(多晶)以及金属刻、硅(多晶)以及金属刻蚀蚀是刻是刻蚀设备蚀设备的三大的三大块块uu入入300mm300mm时时代以后;目前介代以后;目前介质质刻刻蚀设备蚀设备市市场场份份额额已已经经接近接近50%50%数据来源:BARRONS. 全球刻全球刻全球刻全球刻蚀设备龙头蚀设备龙头LamResearchLamResearchuuLAMLAM的的营营收

30、及收及净净利利润润一直保持高度增一直保持高度增长长。20182018年,泛林半年,泛林半导导体体实现营业实现营业收入收入110110亿亿美元,同比增美元,同比增长长38.23%38.23%,扣非后,扣非后归归母母净净利利润为润为23.8023.80亿亿美元,同比增美元,同比增长长37.26%37.26%;uuLAMLAM极度重极度重视视研研发发。泛林半。泛林半导导体体20102010年以来研年以来研发发支出不断增加,从支出不断增加,从20102010年年3 3亿亿美美元,快速增元,快速增长长至至20182018年年1212亿亿美元,其研美元,其研发发支出占比支出占比长长期期维维持在持在10%1

31、0%以上;以上;数据来源:LAMResearch数据来源:LAMResearch. 中微半中微半中微半中微半导导体体体体uu中微半中微半导导体体介介质质刻刻蚀蚀的行的行业领导业领导者,者,20042004年年5 5月月3131日成立日成立uu中微半中微半导导体体专专利申利申请请达达11571157项项,已,已经获经获得了得了748748项专项专利,利,还还有有409409项项正在申正在申请请。uu公司目前已公司目前已经经完成了完成了65-4565-45纳纳米、米、32-2232-22纳纳米、米、22-1422-14纳纳米三代米三代电电介介质质刻刻蚀蚀装装备备产产品研制并品研制并实现实现了了产业

32、产业化。化。uu介介质质刻刻蚀设备蚀设备已打入全球已打入全球顶级顶级企企业业台台积电积电的的7nm7nm、10nm10nm量量产线产线,并占据了中芯,并占据了中芯国国际际50%50%以上的新增采以上的新增采购额购额uu介介质质刻刻蚀蚀已已经经占到占到4040纳纳米到米到2828纳纳米的国内米的国内FoundryFoundry市市场场的的40%40%以上以上. 北方北方北方北方华创华创uu北方北方北方北方华创华创硅刻硅刻硅刻硅刻蚀蚀的拓荒者的拓荒者的拓荒者的拓荒者. 离子注入机市离子注入机市离子注入机市离子注入机市场场分析分析分析分析uu全球离子注入机市全球离子注入机市场规场规模模为为1010亿

33、亿美元左右,美元左右,应应用材料用材料长长期以来占据了期以来占据了70%70%以上以上的市的市场场,另外,美国的,另外,美国的亚亚舍立科技舍立科技发发展迅猛,市展迅猛,市场场分分额额也在不断增加。也在不断增加。uu由于芯片尺寸不断由于芯片尺寸不断缩缩小,低能大束流日小,低能大束流日渐渐成成为为主流,主流,应应用材料占有用材料占有40%40%的市的市场场份份额额;其次是;其次是AxcelisAxcelis,占,占32%32%;第三家是;第三家是AIBTAIBT,占有,占有25%25%的市的市场场份份额额数据来源:应用材料官网. 离子注入离子注入离子注入离子注入设备设备国国国国产产化化化化进进程程

34、程程uu北京中科信高能量、大束流和中束流离子注入机中均布局北京中科信高能量、大束流和中束流离子注入机中均布局较为较为完整,其完整,其“45-45-22nm22nm低能大束流离子注入机研低能大束流离子注入机研发发与与产业产业化化”项项目通目通过验过验收收uu凯凯世通研世通研发发出我国第一台商用光伏离子注入机,目前在研出我国第一台商用光伏离子注入机,目前在研发发及市及市场场推广方面主攻推广方面主攻两个两个产产品:低能大束流离子注入机和品:低能大束流离子注入机和IGBTIGBT氢氢离子注入机离子注入机中科信大束流离子注入机中科信大束流离子注入机凯凯世通太阳能离子注入机世通太阳能离子注入机. 薄膜沉薄

35、膜沉薄膜沉薄膜沉积设备积设备市市市市场场uu全球半全球半导导体薄膜沉体薄膜沉积积市市场预计场预计到到20252025年将达到年将达到360360亿亿美元;从美元;从20172017年到年到20252025年年将以复合年增将以复合年增长长率率14.1%14.1%的速度增加。的速度增加。uu从半从半导导体体镀镀膜膜细细分市分市场场上看,上看,CVDCVD占比最大,市占率达到占比最大,市占率达到55%55%,PVDPVD市占率市占率约约24%24%,其次是,其次是ALDALD等其他等其他镀镀膜膜设备设备。数据来源:VariantMarketResearch数据来源:VariantMarketRese

36、arch. CVDCVD设备设备市市市市场场uu美国美国应应用材料用材料29.6%29.6%;uu日本日本东东京京电电子子20.9%20.9%;uu泛林半泛林半导导体体19.5%19.5%;uuTOP3TOP3市市场场占率高达占率高达70%70%;其他;其他30%30%,包括、,包括、VEECOVEECO、ProtocolProtocolFlexFlex;荷;荷兰兰的的ASMASM;岛岛津;国内有津;国内有北方北方华创华创、中微半、中微半导导体、中体、中电电科科4545所所数据来源:中国产业信息网. PVDPVD市市市市场场uu美国的美国的应应用材料用材料85%85%uuEvatec5.9%E

37、vatec5.9%;uuUlvac5.4%Ulvac5.4%uuTOP3TOP3市占率高达市占率高达96.2%96.2%;其他;其他3.8%3.8%,其他包括,其他包括PVDPVD、VaportechVaportech;英国的;英国的TeerTeer;德的国;德的国CentrothermtCentrothermt、CemeconCemecon;日本的;日本的TELTEL;国内有北方;国内有北方华创华创、中、中电电科科4848所、中所、中电电科科4545所所数据来源:中国产业信息网. 全球全球全球全球镀镀膜膜膜膜设备设备巨巨巨巨头头 应应用材料用材料用材料用材料uu应应用材料用材料2018201

38、8年上半年年上半年营营收收87.7187.71亿亿美元,同比增美元,同比增长长28.53%28.53%。预计预计20182018全年全年营营收将达到收将达到170170亿亿美元,美元,20182018年上半年,年上半年,净净利利润为润为12.6412.64亿亿美元,同比下降美元,同比下降17.22%17.22%uu应应用材料用材料20172017年研年研发发支出支出17.7417.74亿亿美元,占美元,占销销售售额额的的12.2%12.2%数据来源:应用材料数据来源:应用材料. PVDPVD设备设备国国国国产产情况情况情况情况uu北方北方华创华创、沈阳拓、沈阳拓荆荆等公司正在不断突破,北方等公

39、司正在不断突破,北方华创华创的的28nmHardmaskPVD28nmHardmaskPVD设备设备,实现实现了我国了我国PVDPVD设备设备零的突破,被指定零的突破,被指定为为28nm28nm制程工制程工艺艺的的BaselineBaseline机台。机台。uu从从20132013年开始,中国年开始,中国PVDPVD设备设备市市场规场规模开始逐步模开始逐步扩扩大,到大,到20162016年国内市占率已年国内市占率已经经达达10%10%,这这一比例在逐一比例在逐渐渐提高;提高;数据来源:北方华创. CVDCVD设备设备国国国国产产情况情况情况情况uu国国国国产产CVDCVD设备设备厂家已北方厂家

40、已北方厂家已北方厂家已北方华创为华创为主,主,主,主,uuMOCVDMOCVD是国是国是国是国产产化最晚的一个化最晚的一个化最晚的一个化最晚的一个设备设备,目前也已,目前也已,目前也已,目前也已经经达到达到达到达到20%20%以上的国以上的国以上的国以上的国产产化率化率化率化率数据来源:北方华创. CMPCMP设备设备市市市市场场uuCMPCMP设备设备主要被主要被应应用材料和日本的用材料和日本的EbaraEbara垄垄断,两者市占率之和超断,两者市占率之和超过过90%90%;其他;其他10%10%包括包括LamLam、华华海清科、盛美半海清科、盛美半导导体、体、中中电电科科4545所所uu抛

41、光材料是抛光材料是CMPCMP工工艺艺必不可少的耗材,以必不可少的耗材,以抛光液(抛光液(48%48%)和抛光)和抛光垫垫(31%31%)为为主。主。 抛光液生抛光液生产产企企业业主要被日本主要被日本 FujimiFujimi、HinomotoKenmazaiHinomotoKenmazai公司,美国卡博特、杜邦、公司,美国卡博特、杜邦、RodelRodel、EkaEka,韩韩国的国的 ACEACE等所等所垄垄断,占据全球断,占据全球 90%90%以上;以上;抛光抛光垫垫市市场场主要被陶氏化学公司所主要被陶氏化学公司所垄垄断,市断,市场场份份额额超超过过80%80%,其他供,其他供应应商商还还

42、包括日本包括日本东丽东丽、3M3M、台湾三方化学、卡博特等。台湾三方化学、卡博特等。数据来源:应用材料. CMPCMP设备设备国国国国产产化情况化情况化情况化情况uu华华海清科海清科海清科海清科20152015年年年年8 8月,月,月,月,华华海清科首台海清科首台海清科首台海清科首台1212英寸英寸英寸英寸CMPCMP设备设备Universal-300Universal-300进进入客入客入客入客户户生生生生产线产线;20172017年年年年1212月,月,月,月,华华海清科海清科海清科海清科CMPCMP设备设备在客在客在客在客户户端大生端大生端大生端大生产线产线生生生生产产晶晶晶晶圆圆突破突

43、破突破突破1010万片;万片;万片;万片;20182018年年年年1 1月,月,月,月,华华海清科海清科海清科海清科Cu&SiCMPCu&SiCMP设备进设备进入客入客入客入客户户端。端。端。端。uu中中中中电电4545所所所所20172017年年年年1111月月月月2121日,日,日,日, 4545所研所研所研所研发发的国的国的国的国产产首台首台首台首台200mmCMP200mmCMP商用机通商用机通商用机通商用机通过过了了了了严严格的万片格的万片格的万片格的万片马马拉松式拉松式拉松式拉松式测测试试,启程,启程,启程,启程发发往中芯国往中芯国往中芯国往中芯国际际(天津)公司(天津)公司(天津

44、)公司(天津)公司进进行上行上行上行上线验证线验证。20172017年年年年3 3月,月,月,月,4545所承研的所承研的所承研的所承研的“300mm300mm硅片硅片硅片硅片单单面抛光机(面抛光机(面抛光机(面抛光机(CMPCMP)的开)的开)的开)的开发发”项项目荣目荣目荣目荣获获20162016年度北京年度北京年度北京年度北京市科学技市科学技市科学技市科学技术术三等三等三等三等奖奖. 检测设备检测设备市市市市场场uu科磊半科磊半科磊半科磊半导导体市占率体市占率体市占率体市占率为为52.1%52.1%,位居第一,位居第一,位居第一,位居第一位,后面是位,后面是位,后面是位,后面是应应用材料

45、和日立。国内的主用材料和日立。国内的主用材料和日立。国内的主用材料和日立。国内的主要厂家是睿励科学要厂家是睿励科学要厂家是睿励科学要厂家是睿励科学仪仪器和中科器和中科器和中科器和中科飞测飞测数据来源:. 检测设备检测设备市市市市场场需求空需求空需求空需求空间间巨大巨大巨大巨大uu20172017年年该该市市场规场规模模约为约为 5252亿亿美元,而美元,而 20182018年年该该市市场规场规模将模将进进一步一步扩扩大到大到 5858亿亿美元美元数据来源:VLSI. 科磊半科磊半科磊半科磊半导导体:前道体:前道体:前道体:前道检测检测一支独秀一支独秀一支独秀一支独秀uu科磊通科磊通过过开开发发

46、新的新的过过程控制和良率管理工具,不程控制和良率管理工具,不仅仅可以分析晶可以分析晶圆圆,光罩和,光罩和ICIC制造制造工工艺艺中关中关键键点的缺陷和点的缺陷和计计量量问题问题,还还可以可以为为客客户户提供信息,以便他提供信息,以便他们识别们识别和解决和解决潜在的工潜在的工艺问题艺问题。定位缺陷源并解决潜在。定位缺陷源并解决潜在过过程程问题问题的能力使客的能力使客户户能能够够改改进对进对制造制造过过程的控制。程的控制。. 科磊半科磊半科磊半科磊半导导体:前道体:前道体:前道体:前道检测检测一支独秀一支独秀一支独秀一支独秀uu公司公司 20172017财财年年营业营业收入收入创创下下历历史新高,

47、达到史新高,达到 34.8034.80亿亿美元,同比增美元,同比增长长 16.60%16.60%uu公司于公司于 20172017年年实现实现毛利毛利润润 21.9221.92亿亿美元,同比增美元,同比增长长 20.37%20.37%,并于,并于 20162016、20172017年分年分别实现别实现 61.02%61.02%、63.00%63.00%的毛利率。的毛利率。数据来源:wind数据来源:wind. 科磊半科磊半科磊半科磊半导导体:前道体:前道体:前道体:前道检测检测一支独秀一支独秀一支独秀一支独秀uu公司始公司始终终重重视产视产品研品研发发,科磊一直将研,科磊一直将研发发投入占比投

48、入占比维维持在持在 15%15%以上的水平,通以上的水平,通过过高高额额的研的研发费发费用支出用支出维维持持创创新能力。新能力。20172017年公司研年公司研发发支出支出为为 5.275.27亿亿美元,同美元,同比增比增长长 9.56%9.56%,研,研发发支出占收入比支出占收入比为为 15.14%15.14%。uu重重视视全球布局,科磊全球布局,科磊积积极拓展极拓展产产品研品研发发的的“朋友圈朋友圈”数据来源:wind数据来源:科磊官网. 国内国内国内国内检测设备现检测设备现状状状状- -路漫漫其修路漫漫其修路漫漫其修路漫漫其修远远兮兮兮兮uu睿励科学睿励科学仪仪器,睿励器,睿励拥拥有有1

49、212寸晶寸晶圆圆全自全自动动光学膜厚光学膜厚检测检测系系统统和关和关键键尺寸、形貌尺寸、形貌检测检测系系统统等等产产品,品,20182018年年5 5月月6 6日睿励日睿励TFX3000TFX3000薄膜薄膜测测量量设备设备三星重复三星重复订单发货订单发货uu中科中科飞测飞测,三,三维维形貌量形貌量测测系系统统CYPRESSCYPRESS系列,表面缺陷系列,表面缺陷检测检测系系统统SPRUCESPRUCE系系列,智能列,智能视觉视觉缺陷缺陷检测检测系系统统BIRCHBIRCH系列,系列,设备设备主要供主要供应应封封测测厂家厂家. 国内厂商国内厂商国内厂商国内厂商营营收收收收规规模和研模和研模

50、和研模和研发发投入与国外巨投入与国外巨投入与国外巨投入与国外巨头头差距巨大差距巨大差距巨大差距巨大uu20172017年北方年北方华创营华创营收收22.2322.23亿亿元,研元,研发发投入投入7.367.36亿亿元;中微半元;中微半导导体全年体全年营营收收10.9510.95亿亿元,研元,研发发投入投入3.423.42亿亿元。元。应应用材料、泛林半用材料、泛林半导导体、体、东东京京电电子子20172017年年营业营业收入分收入分别为别为966.32966.32、717.83717.83、667.88667.88亿亿元,研元,研发发投入分投入分别为别为117.92117.92、77.0977.

51、09、57.3557.35亿亿元。元。数据来源:各公司官网. 20172017年全球十大年全球十大年全球十大年全球十大ICIC设备设备厂厂厂厂排名公司主要产品领域2017年营收(单位:亿美元)较2016年增长率(%)1美国应用材料(AppliedMaterials)沉积、刻蚀、离子注入、化学机械研磨等107382美国泛林半导体(LamResearch)刻蚀、沉积、清洗等84.4623日本东京电子(TokyoElectron)沉积、刻蚀、匀胶显影设备等72.03484荷兰阿斯麦(ASML)光刻设备71.86415美国科磊半导体(KLA-Tencor)硅片检测、量测设备28.32176日本迪恩士(

52、ScreenSemiconductorSolution)清洗、刻蚀设备13.917韩国的细美事(SEMES)清洗、封装设备10.51428日本日立高新(Hitachihigh-technologies)沉积、刻蚀、封装贴片设备10.359日本日立国际电气(HitachiKOKUSAI)热处理设备9.78410日本大幅(Daifuku)无尘室搬运设备6.94611荷兰先域(ASMInternational)沉积、封装键合设备6.53112日本尼康(Nikon)光刻设备6.3-16数据来源:SEMI. 目目目目录录u一、集成电路核心组件简介u二、半导体前道工艺u三、半导体前道设备市场分析u四、机遇

53、与挑战. 机遇机遇机遇机遇- -市市市市场场需求在不断增加需求在不断增加需求在不断增加需求在不断增加uu随着随着随着随着5G5G、AIAI、大数据、云、大数据、云、大数据、云、大数据、云计计算、物算、物算、物算、物联联网等新网等新网等新网等新兴兴行行行行业业需求的逐步提升是重要的行需求的逐步提升是重要的行需求的逐步提升是重要的行需求的逐步提升是重要的行业驱动业驱动力,力,力,力,20182018年半年半年半年半导导体体体体销销售数量超售数量超售数量超售数量超过过1 1万万万万亿亿uu20182018年半年半年半年半导导体体体体设备销设备销售售售售额额627.3627.3亿亿美元,增美元,增美元

54、,增美元,增长长10.8%10.8%,中国,中国,中国,中国销销售售售售额额82.382.3亿亿美元美元美元美元数据来源:wsts数据来源:wind. 机遇机遇机遇机遇- -国家的投入在不断增加国家的投入在不断增加国家的投入在不断增加国家的投入在不断增加uu2017-20202017-2020全球集成全球集成全球集成全球集成电电路路路路设备设备投投投投资规资规模小幅增模小幅增模小幅增模小幅增长长,CAGR=6.2%CAGR=6.2%;中国集成;中国集成;中国集成;中国集成电电路路路路设备设备投投投投资额资额高速增高速增高速增高速增长长,CAGR=44.8%CAGR=44.8%。20182018

55、年中国集成年中国集成年中国集成年中国集成电电路路路路设备设备投投投投资资全球占全球占全球占全球占比达比达比达比达20%20%,超越台湾,成,超越台湾,成,超越台湾,成,超越台湾,成为仅为仅次于次于次于次于韩韩国的全球第二大半国的全球第二大半国的全球第二大半国的全球第二大半导导体体体体设备设备投投投投资资大国大国大国大国数据来源:北方华创. 挑挑挑挑战战- -半半半半导导体制造核心体制造核心体制造核心体制造核心设备设备市市市市场场高度高度高度高度垄垄断断断断uu晶晶晶晶圆圆制造核心制造核心制造核心制造核心设备为设备为光刻机、刻光刻机、刻光刻机、刻光刻机、刻蚀蚀机、机、机、机、PVDPVD和和和和

56、CVDCVD,四者,四者,四者,四者总总和占晶和占晶和占晶和占晶圆圆制造制造制造制造设备设备支出的支出的支出的支出的75%75%uu半半半半导导体核心体核心体核心体核心设备设备TOP3TOP3市市市市场场占有率基本在占有率基本在占有率基本在占有率基本在90%90%以上。以上。以上。以上。数据来源:中国产业信息网. 公司在半公司在半公司在半公司在半导导体体体体领领域域域域超精密超精密超精密超精密光学光学光学光学半半半半导导体体体体检检测设备测设备以以以以显显微光学微光学微光学微光学为为基基基基础础的表面缺的表面缺的表面缺的表面缺陷陷陷陷检测设备检测设备超精密超精密超精密超精密机加机加机加机加半半

57、半半导导体量体量体量体量测设备测设备用于套准精度用于套准精度用于套准精度用于套准精度测测量的相干探量的相干探量的相干探量的相干探测显测显微微微微镜镜集成在其集成在其集成在其集成在其他他他他设备设备的的的的检测检测系系系系统统以以以以显显微系微系微系微系统为统为基基基基础础的集成在的集成在的集成在的集成在清洗、匀胶等清洗、匀胶等清洗、匀胶等清洗、匀胶等设备设备的的的的检测检测系系系系统统高精度高精度高精度高精度吸吸吸吸盘盘用于光刻用于光刻用于光刻用于光刻设备设备、刻刻刻刻蚀设备蚀设备中的中的中的中的高精度吸高精度吸高精度吸高精度吸盘盘高精度高精度高精度高精度电电极极极极用于用于用于用于CVDCVDCVDCVD设备设备的的的的硅硅硅硅电电极,硅或碳化极,硅或碳化极,硅或碳化极,硅或碳化环环. 谢谢!.

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 大杂烩/其它

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号