同步时序电路设计

上传人:人*** 文档编号:569300448 上传时间:2024-07-28 格式:PPT 页数:57 大小:2.28MB
返回 下载 相关 举报
同步时序电路设计_第1页
第1页 / 共57页
同步时序电路设计_第2页
第2页 / 共57页
同步时序电路设计_第3页
第3页 / 共57页
同步时序电路设计_第4页
第4页 / 共57页
同步时序电路设计_第5页
第5页 / 共57页
点击查看更多>>
资源描述

《同步时序电路设计》由会员分享,可在线阅读,更多相关《同步时序电路设计(57页珍藏版)》请在金锄头文库上搜索。

1、同步时序逻辑电路设计同步时序逻辑电路设计目的与要求:目的与要求: 1. 1. 掌握同步时序电路的设计方法掌握同步时序电路的设计方法2. 2. 通过举例、做练习掌握方法。通过举例、做练习掌握方法。重点与难点:重点与难点:1. 1. 同步时序电路的状态设定、状态化简、状态分配同步时序电路的状态设定、状态化简、状态分配2. 2. 同步时序电路设计中驱动方程的求解同步时序电路设计中驱动方程的求解3. 3. 能否自启动的判断能否自启动的判断设计步骤:设计步骤:1根据设计要求,设定状态,画出根据设计要求,设定状态,画出原始状态转换图原始状态转换图。2状态化简状态化简3状态分配,列出状态转换编码表状态分配,

2、列出状态转换编码表4选择触发器的类型,求出状态方程、驱动方程、输出方程选择触发器的类型,求出状态方程、驱动方程、输出方程5根据驱动方程和输出方程画逻辑图。根据驱动方程和输出方程画逻辑图。 6检查电路有无自启动能力检查电路有无自启动能力同步时序逻辑电路设计同步时序逻辑电路设计同步时序电路的设计是分析的逆过程。是根据对设计要求同步时序电路的设计是分析的逆过程。是根据对设计要求分析得到的分析得到的状态图状态图,设计出同步时序逻辑电路的过程。,设计出同步时序逻辑电路的过程。1. 1. 建立原始状态图建立原始状态图原始状态图:直接从设计命题的文字描述得到的状态图。原始状态图:直接从设计命题的文字描述得到

3、的状态图。 状态图和状态表能反映同步时序电路的状态图和状态表能反映同步时序电路的逻辑功能逻辑功能,所以,所以它是设计同步时序电路的依据。它是设计同步时序电路的依据。建立原始状态图的步骤:建立原始状态图的步骤:l假定一个假定一个初态初态S0。l从从S0出发,每加入一个要记忆的出发,每加入一个要记忆的输入信号输入信号,就用其,就用其次态次态“记忆记忆”,并标出相应的,并标出相应的输出值输出值。(该次态可能是(该次态可能是S0本身,也可能是另一个已有的状态,也可本身,也可能是另一个已有的状态,也可能是新增的状态。)能是新增的状态。)l重复重复直到直到没有新的状态没有新的状态出现,并且从每个状态出发,

4、输入出现,并且从每个状态出发,输入的各种可能取值引起的状态转移一一考虑。的各种可能取值引起的状态转移一一考虑。例例1. 按下图作出按下图作出101序列检测器的序列检测器的Mealy型原始状态图和状型原始状态图和状态表。(当输入序列出现态表。(当输入序列出现101时,输出时,输出Z=1,否则,否则Z=0) 解:电路必须记住解:电路必须记住1、10、101三种输入情况,因此把需要三种输入情况,因此把需要记忆的每一种输入情况与电路的一个状态相对应。记忆的每一种输入情况与电路的一个状态相对应。假定电路的初始状态为假定电路的初始状态为S0:1)输入)输入X=1,电路状态由,电路状态由S0转移到转移到S1

5、,输出,输出Z=0;2)再输入)再输入X=0,电路状态由,电路状态由S1转移到转移到S2,输出,输出Z=0;3)接着输入)接着输入X=1,电路状态由,电路状态由S2转移到转移到S3,输出,输出Z=1。 由此可得部分状态图。由此可得部分状态图。( (只考虑了输入只考虑了输入“101”的情况,的情况,实际上当电路处于某一状态时,输入实际上当电路处于某一状态时,输入X可能为可能为1,也可能为,也可能为0。因此这个状态图是不完整的,称部分原始状态图。因此这个状态图是不完整的,称部分原始状态图。) )110110114 4)由部分原始状态图,对每个状态进行分析得到)由部分原始状态图,对每个状态进行分析得

6、到完整的原始完整的原始状态图状态图,根据原始状态图可得到,根据原始状态图可得到原始状态表原始状态表。p当电路处于初始状态当电路处于初始状态S0,若输入为,若输入为0,电路应停留在,电路应停留在S0,因,因为为“101”序列不是从序列不是从0开始。开始。p当电路处于当电路处于S1态时态时(已经收到(已经收到1序列),序列),若输入为若输入为1,状态,状态应保持应保持S1,因为它可能是下一个,因为它可能是下一个“101”的开始。的开始。p当电路处于当电路处于S2态时(已经收到态时(已经收到10序列),若输入为序列),若输入为0,则不,则不是要检测的序列,电路返回到是要检测的序列,电路返回到S0态,

7、准备重新开始检测态,准备重新开始检测101序序列。列。p当电路处于当电路处于S3态时态时(已经收到(已经收到101序列),序列),若输入为若输入为1,则,则可能是另一个可能是另一个101的开始,应转移到的开始,应转移到S1态;若输入为态;若输入为0,从给,从给定的典型输入输出序列看,输入序列定的典型输入输出序列看,输入序列101中最后的中最后的“1”可能可能是新的是新的101序列中的第一个序列中的第一个1,因此状态应从,因此状态应从S3转移到转移到S2,而,而不是转移到不是转移到S0。通过上述分析,就可得到完整的原始状态图。通过上述分析,就可得到完整的原始状态图。原始状态图的画法举例原始状态图

8、的画法举例例例1、某序列检测器有一个输入端、某序列检测器有一个输入端X和一个输出端和一个输出端Z。输入。输入端端X输入一串随机的二进制代码,当输入序列中出现输入一串随机的二进制代码,当输入序列中出现011时,输出时,输出Z产生一个产生一个1输出,平时输出,平时Z输出输出0。试做出该序列。试做出该序列检测器的原始状态图。(检测器的原始状态图。(mealy型实现)型实现)S0S1S2S31/00/01/11/00/00/01/00/0 完全确定状态表:完全确定状态表:状态表中的次态和输出状态表中的次态和输出都有确定状态和确定的都有确定状态和确定的输出值。输出值。 例例2、设计一个用于引爆控制的同步

9、时序电路,该电路有、设计一个用于引爆控制的同步时序电路,该电路有一个输入端一个输入端X和一个输出端和一个输出端Z。平时输入。平时输入X始终为始终为0,一旦,一旦需要引爆,则从需要引爆,则从X连续输入连续输入4个个1信号(不被信号(不被0间断),电间断),电路收到第路收到第4个个1后在输出端后在输出端Z产生一个产生一个1信号点火引爆,该信号点火引爆,该电路连同引爆装置一起被炸毁。试建立该电路的电路连同引爆装置一起被炸毁。试建立该电路的mealy型型状态图。状态图。S0S1S2S31/01/01/01/1d 不完全确定状态表:不完全确定状态表:存在任意项的状态表。存在任意项的状态表。一般情况下,不

10、要求在状态图中标出任意项,这些不一般情况下,不要求在状态图中标出任意项,这些不可能出现的情况是隐含的。或者说,在状态图中,凡没有可能出现的情况是隐含的。或者说,在状态图中,凡没有表示的情况都是不可能出现的,因此状态图不分完全确定表示的情况都是不可能出现的,因此状态图不分完全确定状态图和不完全确定状态图。状态图和不完全确定状态图。在完整的原始状态图和状态表中,状态的数目可能有在完整的原始状态图和状态表中,状态的数目可能有冗余。因此需要进行状态化简。冗余。因此需要进行状态化简。2.状态化简状态化简从原始状态表中消去冗余状态,得到最小化状态表的过程。从原始状态表中消去冗余状态,得到最小化状态表的过程

11、。即使状态表不仅能表征逻辑命题的全部要求,且状态数又最少。即使状态表不仅能表征逻辑命题的全部要求,且状态数又最少。1 1)完全确定状态表的化简)完全确定状态表的化简(建立在(建立在“状态等效状态等效”的概念上)的概念上)l等效状态等效状态:对所有:对所有可能的输入序列可能的输入序列,分别从状态,分别从状态S1和和S2出发,出发,所得到的所得到的输出响应序列完全相同输出响应序列完全相同,则,则S1、S2等效,或称等效,或称S1、S2是是等效对,记作等效对,记作( (S1,S2) )。等效状态可以合并。等效状态可以合并。l等效状态的传递性等效状态的传递性:若:若( (S1,S2) )、( (S2,

12、S3),),则则( (S1,S3) )。记作:。记作:( (S1,S2) ),( (S2,S3)()(S1,S2,S3) )l等效类等效类:彼此等效的状态集合。:彼此等效的状态集合。l最大等效类最大等效类:不包含在其它等效类中的等效类或状态。:不包含在其它等效类中的等效类或状态。状态化简的根本任务就是从原始状态表中找出状态化简的根本任务就是从原始状态表中找出最大等效类集最大等效类集合合。其关键是要找出原始状态表中。其关键是要找出原始状态表中所有等效状态对所有等效状态对。Si和和Sj等效的条件等效的条件(1 1)其输出完全相同;)其输出完全相同;(2 2)其次态满足下列条件之一:)其次态满足下列

13、条件之一:l 次态相同次态相同次态相同次态相同l 次态交错次态交错次态交错次态交错:即在某种输入取值下,:即在某种输入取值下,Si的次态为的次态为Sj,而,而Sj的的次态为次态为Si。l 次态循环次态循环次态循环次态循环:即次态之间的关系构成闭环。如:即次态之间的关系构成闭环。如:Si,SjSk,Sl,而,而Sk,SlSi,Sjl 次态对等效次态对等效次态对等效次态对等效:如:如S1和和S2的次态对为的次态对为S3,S4,S3和和S4满足满足等效的等效的2个条件(输出完全相同,次态相同个条件(输出完全相同,次态相同/交错交错/循环)循环)化简方法:化简方法: 1 1)观察法:适用于简单状态表化

14、简。)观察法:适用于简单状态表化简。 2 2)隐含表法:基本思想是两两比较原始状态表中的所有状)隐含表法:基本思想是两两比较原始状态表中的所有状态,找出等效状态对,再利用传递性,得到等效类和最大等态,找出等效状态对,再利用传递性,得到等效类和最大等效类,合并最大等效类中的状态,得到最小化状态表。效类,合并最大等效类中的状态,得到最小化状态表。 例例3、观察法化简下列状态表、观察法化简下列状态表例例4、隐含表法化简下列状态表、隐含表法化简下列状态表隐含表:直角三角形网格。隐含表:直角三角形网格。横向从左到右依次标横向从左到右依次标注注1n-1个状态名,个状态名,纵向从上到下依纵向从上到下依次标注

15、次标注2n个个状态名。状态名。1解:解:1)作隐含表。)作隐含表。2)顺序比较,寻找等效状态对。)顺序比较,寻找等效状态对。比较结果有状态对等效、不等效、不能确定三种。等效比较结果有状态对等效、不等效、不能确定三种。等效时在相应方格填时在相应方格填“”;不等效时在相应方格填;不等效时在相应方格填“ ”,不能确定时,将次态对填入相应方格不能确定时,将次态对填入相应方格CFXXXXBE解:解:1)作隐含表。)作隐含表。2)顺序比较,寻找等效状态对。)顺序比较,寻找等效状态对。比较结果有状态对等效、不等效、不能确定三种。等效比较结果有状态对等效、不等效、不能确定三种。等效时在相应方格填时在相应方格填

16、“”;不等效时在相应方格填;不等效时在相应方格填“ ”,不能确定时,将次态对填入相应方格不能确定时,将次态对填入相应方格AB CF AE BE AE (次态循环次态循环) CF BE AE CF DG CD DE 解:解:3)关联比较,确定等效状态对关联比较,确定等效状态对所以,等效对为所以,等效对为(A,B)、(A,E)、(B,E)、(C,F)。它们属于两个等效类:它们属于两个等效类: (A,B,E)、(C,F)。 状态状态D,G没有与它们等效的没有与它们等效的状态,因此各自单独构成一个最状态,因此各自单独构成一个最大等效类。大等效类。原状态表中所有最大等效类是:原状态表中所有最大等效类是:

17、(A,B,E)、(C,F)、(D)、(G)解:解:4)确定最大等效类,作最小化状态表确定最大等效类,作最小化状态表。2 2)不完全确定状态表的化简)不完全确定状态表的化简(建立在(建立在“状态相容状态相容”的概念上)的概念上)相容状态相容状态:对所有的:对所有的有效输入序列有效输入序列(长度和结构任意),分(长度和结构任意),分别从状态别从状态S1和和S2出发,所得到的出发,所得到的输出输出响应序列(除不确定的响应序列(除不确定的那些位之外)是那些位之外)是完全相同完全相同的,则的,则S1和和S2相容,或称相容,或称S1、S2是是相容对,记作(相容对,记作(S1,S2)。)。相容状态相容状态无

18、无传递性传递性:若:若S1,S2相容,相容,S2,S3相容,但相容,但S1,S3不一定相容。不一定相容。相容类相容类:所有状态之间都是两两相容的状态集合。:所有状态之间都是两两相容的状态集合。最大相容类最大相容类:不包含在其它相容类中的相容类。:不包含在其它相容类中的相容类。相容的条件:相容的条件:(1 1)输出完全相同,或者其中的一个)输出完全相同,或者其中的一个( (或或2个个) )输出为任意值输出为任意值(2)次态满足下列条件之一:次态满足下列条件之一: 次态相同次态相同 次态交错次态交错 次态循环次态循环 其中的一个或二个为任意状态其中的一个或二个为任意状态 次态对相容次态对相容 不完

19、全确定状态表的化简过程分为:作不完全确定状态表的化简过程分为:作隐含表隐含表寻找寻找相容相容对对;作;作状态合并图状态合并图找找最大相容类最大相容类;作;作最小化状态表最小化状态表3个个步骤。步骤。状态合并图状态合并图:将将不完全确定状态表的状态以不完全确定状态表的状态以“点点”的形式均的形式均匀地绘在圆周上,然后把所有相容对都用线段连接起来而得匀地绘在圆周上,然后把所有相容对都用线段连接起来而得到的图。因此,到的图。因此,所有点之间都有连线的多边形就构成一个最所有点之间都有连线的多边形就构成一个最大相容类大相容类。覆盖性:所选覆盖性:所选相容类集合相容类集合应包含原始状态表的应包含原始状态表

20、的全部状态全部状态。最小性:所选最小性:所选相容类集合相容类集合中中相容类个数应最少相容类个数应最少。闭合性:所选闭合性:所选相容类集合相容类集合中的任一相容类,在原始状态表中的任一相容类,在原始状态表中任意输入条件下产生的中任意输入条件下产生的次态次态应该应该属于该集合属于该集合中的某一个中的某一个相容类。相容类。最小闭覆盖:同时具备最小闭覆盖:同时具备最小最小、闭合闭合、覆盖覆盖三个条件的相容三个条件的相容类(包括最大相容类)集合。类(包括最大相容类)集合。 不完全确定状态表的化简就是寻找一个不完全确定状态表的化简就是寻找一个最小闭覆盖最小闭覆盖。闭覆盖表:反映闭合和覆盖这两个性质的表。闭

21、覆盖表:反映闭合和覆盖这两个性质的表。例例5、简化下列状态表。、简化下列状态表。解:解:1)作隐含表,寻找)作隐含表,寻找相容状态对相容状态对。(A,B),(A,F),(B,C),(B,D),(B,E),(B,F)(C,D),(C,E),(C,F),(D,F),(D,E),(E,F)例例5、简化下列状态表。、简化下列状态表。解:解:1)作隐含表,寻找相容状态对。)作隐含表,寻找相容状态对。(A,B),(A,F),(B,C),(B,D),(B,E),(B,F)(C,D),(C,E),(C,F),(D,F),(D,E),(E,F)2)作状态合并图,求最大相容类。作状态合并图,求最大相容类。(A,B

22、,F)状态合并图状态合并图,(B,C,D,E,F)3)作最小化状态表)作最小化状态表可任选一组能覆盖原始状态所有可任选一组能覆盖原始状态所有状态的相容类来作闭覆盖表。状态的相容类来作闭覆盖表。3)作最小化状态表)作最小化状态表如若选择(如若选择(A,B,F)和(和(B,C,D,E,F)作闭覆盖作闭覆盖表。可以看出这两个相容类集合表。可以看出这两个相容类集合覆盖覆盖了原始状态表的了原始状态表的全部状全部状态态,而且每个最大相容类在任何一种输入情况下的,而且每个最大相容类在任何一种输入情况下的次态组合次态组合完全属于最大相容类集合中的完全属于最大相容类集合中的一个相容类一个相容类。因此满足。因此满

23、足闭合闭合和和覆盖覆盖条件。此外条件。此外最大相容类的数目最大相容类的数目已最少,满足已最少,满足最小最小条件。条件。3)作最小化状态表)作最小化状态表选择(选择(A,B,F)和(和(B,C,D,E,F)作闭覆盖表。作闭覆盖表。闭覆盖表画法是:在表的闭覆盖表画法是:在表的左边左边自上而下列出所选自上而下列出所选最大相容类最大相容类,表的表的中间覆盖部分中间覆盖部分自左到右列出自左到右列出全部状态全部状态,表的,表的右边闭合部右边闭合部分分列出各相容类在输入各种取值组合下的列出各相容类在输入各种取值组合下的次态组合次态组合。3)作最小化状态表)作最小化状态表若用若用A代替状态代替状态A、B、F;

24、C代替状态代替状态B、C、D、E、F, ,可可得得最小化状态表:最小化状态表:3.状态编码(状态分配)状态编码(状态分配)状态编码就是给最小化状态表中的每个字母或数字表示的状态编码就是给最小化状态表中的每个字母或数字表示的状态状态指定一个指定一个二进制代码二进制代码,形成二进制状态表。,形成二进制状态表。编码的目的:用触发器实现状态表。编码的目的:用触发器实现状态表。 编码的任务:确定编码的长度(二进制位数或);寻找一种最编码的任务:确定编码的长度(二进制位数或);寻找一种最佳或接近最佳的状态分配方案。佳或接近最佳的状态分配方案。最小化状态表的状态数最小化状态表的状态数N与状态编码长度与状态编

25、码长度n的关系:的关系: 编码方案将会影响输出函数、激励函数的表达式,从而影编码方案将会影响输出函数、激励函数的表达式,从而影响电路的复杂程度。响电路的复杂程度。状态分配的基本原则状态分配的基本原则1 1)在)在相同输入相同输入条件下具有条件下具有相同次态相同次态的现态,应尽可能分配的现态,应尽可能分配相邻的二进制代码相邻的二进制代码。2 2)在)在不同输入不同输入条件下,条件下,同一现态同一现态的次态应尽可能分配的次态应尽可能分配相邻相邻的二进制代码的二进制代码。3 3)输出完全相同输出完全相同的现态应尽可能分配的现态应尽可能分配相邻的二进制代码相邻的二进制代码。4 4)最小化状态表中)最小

26、化状态表中出现次数最多出现次数最多的状态应的状态应分配逻辑分配逻辑0。通常,第一条原则较重要,需优先考虑。上述原则和优通常,第一条原则较重要,需优先考虑。上述原则和优先次序的考虑,目的是使次态函数和输出函数在卡诺图上先次序的考虑,目的是使次态函数和输出函数在卡诺图上“1”的分布是相邻的,使函数表达式最简。的分布是相邻的,使函数表达式最简。例例6、对下列状态表进行状态编码。、对下列状态表进行状态编码。解:解:1)确定编码长度)确定编码长度有有4个状态(个状态(N=4),),所以编码长度为所以编码长度为n=2。即需要两个即需要两个触发器,假设触发器状态变量为触发器,假设触发器状态变量为y2和和y1

27、。2)确定状态分配方案确定状态分配方案原则原则1:状态:状态A、B分配相邻代码;分配相邻代码;A、C也应分配相邻代码。也应分配相邻代码。原则原则2:状态:状态C和和D、C和和A、B和和D、A和和B应分配相邻代码。应分配相邻代码。原则原则3:状态:状态A、B、C应分配相邻代码。应分配相邻代码。原则原则4:状态:状态A分配逻辑分配逻辑0,即,即A的编码为的编码为y2y1=00。注意:满足状态分配原则的方案注意:满足状态分配原则的方案不一定是唯一不一定是唯一的。的。4.确定激励函数和输出函数确定激励函数和输出函数依据状态分配得到的依据状态分配得到的二进制状态表二进制状态表和所选定的触发器的和所选定的

28、触发器的激励表或次态方程求出激励表或次态方程求出激励函数表达式激励函数表达式和电路的和电路的输出函数表输出函数表达式达式。例例7.用用JK触发器实现下列二进制状态表,确定其激励函数和触发器实现下列二进制状态表,确定其激励函数和输出函数表达式。输出函数表达式。解:解:1)确定激励函数表达式)确定激励函数表达式由二进制状态表得次态方程为:由二进制状态表得次态方程为:2)确定输出函数表达式)确定输出函数表达式5.画逻辑电路图画逻辑电路图1)先画出所选的触发器,先画出所选的触发器,并按状态表中状态变量的顺序给并按状态表中状态变量的顺序给FF编号。编号。2)根据激励函数表达式和输出函数表达式画出组合逻根

29、据激励函数表达式和输出函数表达式画出组合逻辑部分的电路图。辑部分的电路图。3)画出同步时钟信号线。画出同步时钟信号线。6.检查逻辑电路能否自启动检查逻辑电路能否自启动若设计的电路存在无效状态,应检查电路若设计的电路存在无效状态,应检查电路进入无效状态进入无效状态后,能否在时钟脉冲的作用下自动返回有效状态工作后,能否在时钟脉冲的作用下自动返回有效状态工作。若能。若能回到有效状态,则电路有自启动能力;若不能,则需修改设回到有效状态,则电路有自启动能力;若不能,则需修改设计,使电路具备自启动能力。计,使电路具备自启动能力。设计设计要求要求原始状原始状态图态图最简状最简状态图态图画电画电路图路图检查电

30、路能检查电路能否自启动否自启动1246时序电路的设计步骤小结时序电路的设计步骤小结时序电路的设计步骤小结时序电路的设计步骤小结选触发器,求时选触发器,求时钟、输出、状态、钟、输出、状态、驱动方程驱动方程5状态状态分配分配3化简同步时序逻辑电路设计举例同步时序逻辑电路设计举例例例1 1、按下面状态图设计同步时序电路。、按下面状态图设计同步时序电路。例例1 1、按下面状态图设计同步时序电路。、按下面状态图设计同步时序电路。解:解:1 1)根据状态数确定触发器的数目:由状态图知,其每个)根据状态数确定触发器的数目:由状态图知,其每个状态有两个数码,故可用两个触发器。其变量可用状态有两个数码,故可用两

31、个触发器。其变量可用Q Q1 1,Q Q0 0表示;表示;2 2)根据状态图列出状态表:列表时将自变量的所有组合全部)根据状态图列出状态表:列表时将自变量的所有组合全部列出来,其中当列出来,其中当Q Q1 1n nQ Q0 0n n=01=01的状态为不出现,其输出可看作任意的状态为不出现,其输出可看作任意项处理。项处理。 XQ1nQ0nQ1n+1Q0n+1z000000001DDd010100011110100100101ddD110110111001ddd3)选择触发器并确定各触发器的输入方程选择触发器并确定各触发器的输入方程 可根据上面状态表画出如下的卡诺图:可根据上面状态表画出如下的卡

32、诺图: 根据上面的卡诺图可写根据上面的卡诺图可写出各触发器的次态方程为:出各触发器的次态方程为: 假设选择假设选择JK触发器,则将上式与触发器,则将上式与JK触发器的特征方程进行触发器的特征方程进行比较可得其驱动(激励)方程为:比较可得其驱动(激励)方程为:J0=xQ1nK0=xJ1=xK1=xQ0nz4)求输出函数)求输出函数 由上面的卡诺图可写出输出函数为:由上面的卡诺图可写出输出函数为: z=xQ0n 5)画出逻辑图。画出逻辑图。 驱动(激励)方程:驱动(激励)方程:J0=xQ1n K0=x J1=xK1=xQ0n输出函数为:输出函数为: z=xQ0n 6)检查该电路是否可以自启动。检查

33、该电路是否可以自启动。 在该设计中状态在该设计中状态0101没有出现,须验证该状态能否进入有效没有出现,须验证该状态能否进入有效的循环。的循环。将将x为为0和和1及状态及状态01分别带代入上面各触发器的次分别带代入上面各触发器的次态方程,如下:态方程,如下: Q Q1 1n nQ Q0 0n n=01=01、x=0x=0时,时,z=0z=0, Q Q1 1n+1n+1Q Q0 0n+1n+1=01=01,状态不变。状态不变。 Q Q1 1n nQ Q0 0n n=01=01、x=1x=1时,时,z=1z=1, Q Q1 1n+1n+1Q Q0 0n+1n+1=10=10,状态转移。状态转移。从

34、图上可看出该电路可以实现自启动,故设计是可行的。从图上可看出该电路可以实现自启动,故设计是可行的。1建立原始状态图建立原始状态图例例2、设计一个按自然态序变化的、设计一个按自然态序变化的7进制同步加法计数器,计进制同步加法计数器,计数规则为逢七进一,产生一个进位输出。数规则为逢七进一,产生一个进位输出。状态化简状态化简2状态分配状态分配3已经最简。已经最简。已是二进制状态。已是二进制状态。4选触发器,求时钟、输出、选触发器,求时钟、输出、状态、驱动方程状态、驱动方程 因需用因需用3位二进制代码,选用位二进制代码,选用3个个CP下降沿触发的下降沿触发的JK触触发器,分别用发器,分别用FF0、FF

35、1、FF2表示。表示。由于要求采用同步方案,故时钟方程为:由于要求采用同步方案,故时钟方程为:输出方程:输出方程:状状状状态态态态方方方方程程程程不化简,以便使之与不化简,以便使之与JK触发器的特性方程的形式一致。触发器的特性方程的形式一致。比较,得驱动方程:比较,得驱动方程:电电路路图图5检查电路能否自启动检查电路能否自启动6将无效状态将无效状态111代入状态方程计算:代入状态方程计算:电路有一个无效状态电路有一个无效状态111,将该状态代入状态方程得,将该状态代入状态方程得其次态为其次态为000。说明一旦电路进入无效状态时,只要经过。说明一旦电路进入无效状态时,只要经过一个计数脉冲一个计数

36、脉冲CP,电路变进入有效状态电路变进入有效状态000。因此,该电。因此,该电路具有自启动能力。路具有自启动能力。 例例3. 设设计计一一个个串串行行数数据据检检测测电电路路,当当连连续续输输入入3个个或或3个个以以上上1时,电路的输出为时,电路的输出为1,其它情况下输出为,其它情况下输出为0。例如:。例如:输入输入X:101100111011110输出输出Y:0000000010001101建立原始状态图建立原始状态图S0S1S2S3设电路开始处于初始状态为设电路开始处于初始状态为S0。第一次输入第一次输入1时,由状态时,由状态S0转入转入状态状态S1,并输出并输出0;1/0X/Y若继续输入若

37、继续输入1,由状态,由状态S1转入状转入状态态S2,并输出并输出0;1/0如果仍接着输入如果仍接着输入1,由状态,由状态S2转转入状态入状态S3,并输出并输出1;1/1此后若继续输入此后若继续输入1,电路仍停留,电路仍停留在状态在状态S3,并输出并输出1。1/1电路无论处在什么状态,电路无论处在什么状态,只要输入只要输入0,都应回到初,都应回到初始状态,并输出始状态,并输出0,以便,以便重新计数。重新计数。0/00/00/00/0 原原始始状状态态图图中中,凡凡是是在在输输入入相相同同时时,输输出出相相同同、要要转转换换到到的的次次态态也也相相同同的的状状态态,称称为为等等价价状状态态。状状态

38、态化化简简就就是是将将多多个个等等价价状状态态合合并并成成一一个个状状态态,把把多多余余的的状状态态都都去去掉掉,从从而而得得到最简的状态图。到最简的状态图。状态化简状态化简2状态分配状态分配3 所得原始状态图中,状态所得原始状态图中,状态S2和和S3等价。等价。S0=00S1=01S2=104选触发器,求时钟、输出、选触发器,求时钟、输出、状态、驱动方程状态、驱动方程输输出出方方程程状状态态方方程程 选选用用2个个CP下下降降沿沿触触发发的的JK触触发发器器,分分别别用用FF0、FF1表示。采用同步方案,即取:表示。采用同步方案,即取:比较,得驱动方程比较,得驱动方程电电路路图图5检查电路能

39、否自启动检查电路能否自启动6将无效状态将无效状态11代入输出方程和状态方程计算:代入输出方程和状态方程计算:电路能够自启动电路能够自启动例例4、设计一个脉冲序列为、设计一个脉冲序列为10100的序列脉冲发生器。的序列脉冲发生器。解:解:1)根据设计要求设定状态、画出状态转移图。)根据设计要求设定状态、画出状态转移图。由于串行输出由于串行输出Y的脉冲序列为的脉冲序列为10100,故电路应有,故电路应有5个个状态,即状态,即N=5。分别用分别用S0,S1,S4表示。输入第一表示。输入第一个时钟脉冲个时钟脉冲CP时,状态由时,状态由S0转到转到S1,输出输出Y=1;输入第二输入第二个时钟脉冲个时钟脉

40、冲CP时,状态由时,状态由S1转到转到S2,输出输出Y=0;,依依次类推。得状态图如下:次类推。得状态图如下:2)状态分配)状态分配因为因为N=5,所以所以n=3。即采用即采用3个触发器。个触发器。若采用三位自然二进制加法计数编码,可得状态转换若采用三位自然二进制加法计数编码,可得状态转换编码表。编码表。3)选择触发器类型,求输出方程、驱动方程、状态方程。)选择触发器类型,求输出方程、驱动方程、状态方程。根据状态转换编码表,可得次态、输出函数卡诺图。根据状态转换编码表,可得次态、输出函数卡诺图。状态轮状态轮换顺序换顺序现态现态Q2n Q1n Q0n次态次态Q2n+1Q1n+1Q0n+1输出输出

41、YS0S1S2S3S4 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1101004)根据输出方程和驱动方程画逻辑图)根据输出方程和驱动方程画逻辑图5)检查电路能否自启动)检查电路能否自启动该电路的三个无效状态该电路的三个无效状态101、110、111代入状态方代入状态方程计算后分别得到程计算后分别得到010、010、000,都为有效状态。说,都为有效状态。说明一旦电路进入无效状态,只要继续输入一个时钟脉冲明一旦电路进入无效状态,只要继续输入一个时钟脉冲CP,电路便可自动返回有效状态工作,具有自启动能力。,电路便可自动返回有

42、效状态工作,具有自启动能力。同步时序电路自启动设计方法同步时序电路自启动设计方法 前面的设计过程是在最后一步对电路自启动与否进行前面的设计过程是在最后一步对电路自启动与否进行判断,如果不能自启动就需重新进行设计。如果一开始进判断,如果不能自启动就需重新进行设计。如果一开始进行设计时就能知道所设计的电路能否自启动,到最后也就行设计时就能知道所设计的电路能否自启动,到最后也就没有必要再进行判断是否能自启动了,那么怎么解决这个没有必要再进行判断是否能自启动了,那么怎么解决这个问题呢?问题呢?同步时序电路自启动设计方法同步时序电路自启动设计方法例例6 6、设计一个按顺、设计一个按顺0000010101

43、00101111000000001010100101111000循环的自启动同步时序逻辑电路。循环的自启动同步时序逻辑电路。 解:可以看出该时序电路有三个触发器,其共有解:可以看出该时序电路有三个触发器,其共有8 8个状态。个状态。而现在仅使用了:而现在仅使用了:000,001,010,100,101,111000,001,010,100,101,111共六个状态,共六个状态,而而011,110011,110为无效。如果这两个无效状态的次态落在有效为无效。如果这两个无效状态的次态落在有效状态上,那么该时序电路就可以自启动。状态上,那么该时序电路就可以自启动。 解决的办法是一开始就选定这两个无效

44、状态的次态,解决的办法是一开始就选定这两个无效状态的次态,而不是将这两个状态作为任意状态进行处理,并且这两个而不是将这两个状态作为任意状态进行处理,并且这两个状态的次状态必须是有效状态中的一个,这样一来问题就状态的次状态必须是有效状态中的一个,这样一来问题就解决了。具体设计步骤如下:解决了。具体设计步骤如下: 1)确定触发器的个数。从上面的状态可以看出有确定触发器的个数。从上面的状态可以看出有3个变个变量,故只须三个触发器即可。量,故只须三个触发器即可。2)根据状态图写出状态表。在这个例子中没有输入、输根据状态图写出状态表。在这个例子中没有输入、输出,是一个典型的摩尔型时序电路,其状态表如下:

45、出,是一个典型的摩尔型时序电路,其状态表如下:Q2nQ1nQ0nQ2n+1Q1n+1Q0n+10000010010100101000111001001011011111101111110003)根据状态表写驱动方程根据状态表写驱动方程 由状态表列出以次态为因变量的卡诺图经过化简后就由状态表列出以次态为因变量的卡诺图经过化简后就得到次态方程。得到次态方程。Q Q2 2n+1n+1Q Q1 1n+1n+1Q Q0 0n+1n+1Q Q2 2n nQ Q2 2n nQ Q2 2n nQ Q1 1n n Q Q0 0n nQ Q1 1n n Q Q0 0n nQ Q1 1n n Q Q0 0n n 用

46、用JK触发器来实现时序电路时,画卡诺圈应注意在每触发器来实现时序电路时,画卡诺圈应注意在每一项中包含对应输出的原态,即一项中包含对应输出的原态,即Q2n+1中包含中包含Q2n项,可以项,可以是原变量或反变量的形式。是原变量或反变量的形式。04)根据上面的输入方程画出逻辑图根据上面的输入方程画出逻辑图。(略)。(略)进一步研究进一步研究 上述设计过程解决了自启动问题,但电路不是最简的。上述设计过程解决了自启动问题,但电路不是最简的。若要得到最简的电路,只须在绘制卡诺图时重新调整任意若要得到最简的电路,只须在绘制卡诺图时重新调整任意项的取值,使其在有效状态中或经过几个无效状态后进入项的取值,使其在有效状态中或经过几个无效状态后进入有效状态,这样得到的电路将是最简的电路。如:有效状态,这样得到的电路将是最简的电路。如:110的的次态选在次态选在000,011的次态选在的次态选在100就可得到最简的电路。就可得到最简的电路。00 00 00 0

展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 资格认证/考试 > 自考

电脑版 |金锄头文库版权所有
经营许可证:蜀ICP备13022795号 | 川公网安备 51140202000112号